Verilog[二进制码转格雷码功能仿真]

  • 二进制码转格雷码
//Bin_to_Gray.v
module Bin_to_Gray 
#(
    parameter NUM = 4
)
(
    input [NUM-1:0] bin,
    output [NUM-1:0] gray
);
        
    assign gray = (bin >> 1) ^ (bin); 

endmodule
  • 二进制码转格雷码仿真测试testbench
//Bin_to_Gray_tb.v

`timescale 1ns/1ps

module Bin_to_Gray_tb();
    parameter num = 4;
    
    reg [num-1:0] bin_in;
    wire [num-1:0] gray_out;

    Bin_to_Gray #(.NUM(num))
                bin2gray(
                        .bin(bin_in),
                        .gray(gray_out)
                        );

    integer i = 0;
    initial begin
        for(i = 0;i < 16;i = i + 1) begin
            bin_in = i;
            #10;
        end
    end 

endmodule
  • 仿真结果

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值