自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 收藏
  • 关注

原创 2021-08-20异常

内置异常类Exception类名描述Exception几乎所有的异常类都是从它派生而来AttributeError引用属性或给它赋值失败引发的OSError操作系统不能执行指定的任务时引发,有多个子类IndexError使用序列中不存在的索引时引发,为LookupError的子类KeyError使用映射中不存在的键值时引发,为LookupError的子类NameError找不到名称(变量)时引发SyntaxError代码不正确时引发

2021-08-20 16:42:21 88

原创 2021-07-07对象、抽象、多态

自定义函数定义def printme(str): print str return调用#!/usr/bin/python# -*-coding:UTF-8 -*-printme("调用用户自定义函数")函数分为可更改参数和不可更改参数,不可更改参数:strings tuples numbers,可更改参数list dict不可更改参数#!/usr/bin/python# -*-coding:UTF-8 -*-def ChangeInt(a): a=10b=2Chang

2021-07-13 23:14:07 89

原创 2021-07-06python 语句

有条件执行ifname=input('what is your name?')if name.endswith('Gumby'): if name.startswith('Mr.'): print('Hello,Mr.Gumby') elif name.startswith('Mrs.'): print('Hellod,Mrs.Gumby') else: print('Hello,Gumby')else: print('Hello,stranger') 断言assert是i

2021-07-06 14:56:38 98

原创 2021-07-05字典

创建和使用字典字典使用方式 phonebook={‘Alice’:‘2341’,‘Beth’:‘9102’,‘Cecil’:‘3258’}dict:使用函数从其他映射中创建字典items=[(‘name’,‘Gumby’),(‘age’,‘42’)]d=dict(items)d{‘name’:‘Gumby’,‘age’:42}d[‘name’]‘Gumby’基本的字典操作len(d)返回字典d包含的项数d[k]返回与键k相关联的值d[k]=v将v关联到键kdel d

2021-07-05 10:53:56 97

原创 2021-07-02字符串

字符串基本转换"The number is {num}".format(num=42)"The number is 42"左对齐<、右对齐>、居中^print('{0:<10.2f}\n{0:^10.2f}\n{0:>10.2f}').format(pi)3.14 3.14 3.14字符串方法center通过在两边添加填充字符(默认为空格)让字符串居中。 "The Middle by Jimmy Eat World".c

2021-07-02 14:59:32 63

原创 2021-07-01列表

列表列表的概述列表和元组的主要不同在于列表[ ]可以修改,元组( )不可以>>>edward = ['Edward Gumby',42] >>> john = [ 'John Smith',50]>>>database = [edward, john ]>>>database[ ['Edward Gumby',42] , [ 'John Smith',50] ]通用的列表操作索引>>>gree

2021-07-01 14:39:10 67

原创 2021-06-30手机直接启动android

电脑由于cpu过老,也不知道自己能坚持到几何,再重新买台机器实在是让我犹豫,所以android学习搁置了几天,突然发现也可以使用手机直接启动android应用,感觉又看到了希望。手机打开adb调试功能手机:设置 ->系统 ->关于手机 ->版本号,连续点击多次提示打开开发者模式,然后打开允许adb调试。(我的手机很早就打开了开发者模式,因此具体的步骤已经记得不是太清楚了,如果需要可以自行百度一下,这个还是很简单的。)电脑adb连接手机cmd进入adb的安装路径:adb devic

2021-06-30 03:48:47 252

原创 2021-06-22Android模拟器

android模拟器是google公司提供的模拟android设备的模拟器,可以模拟手机平板及穿戴设备。支持不同的分辨率。打开android模拟器选中AVD manager已经有两个android模拟器,如果需要添加新的android模拟器点create virtual device,现有的模拟器执行打开,修改,和山川灯操作。选择设备和屏幕的尺寸选择android的系统,建好后如下图所示,启动android模拟器The emulator process for AVD Nexus_One

2021-06-22 22:03:13 1485 2

原创 2021-06-21创建第一个android应用程序

创建第一个Android应用程序sdk选的小可以覆盖到很多低端手机1是工具窗口,显示项目结构 2是编辑窗口Android项目结构manifests 显示android全局描述文件java显示java源码文件,我们编写的java类文件res显示资源文件,布局文件和资源文件AndroidManifest.xml显示全局描述文件,当前的activity文件为MainActivity文件com.example.helloword2 和com.example.helloword2(andoroi

2021-06-21 22:16:00 62

原创 2021-06-20 andriod studio安装

1 Android Studio 下载路径:https://developer.android.google.cn/因特尔虚拟化技术

2021-06-20 05:53:55 204

原创 2021-06-18 python安装

python安装1.下载下载地址:https://www.python.org/downloads/这里我直接整理了64位和32位的3.8.1版本下载链接给大家:64位安装程序链接:https://www.python.org/ftp/python/3.8.1/python-3.8.1-amd64.exe32位安装程序链接:https://www.python.org/ftp/python/3.8.1/python-3.8.1.exeexecutable installer 表示可执行程序,一般

2021-06-18 22:13:49 128

原创 计数器

FPGA设计要求:if后面必须跟着else计数器模板always @ (posedge sys_clk or negedge rst_n) begin if (~rst_n) begin cnt <=0; end else if (add_cnt) begin if (end_cnt) begin cnt <= 0; end else begin cnt <= cnt+

2021-02-04 19:44:19 575

原创 移位寄存器笔记

连续打拍方法1:always @ (posedge clk) begin r_a_d1 <= i_a; r_a_d2 <= r_a_d1; r_a_d3 <= r_a_d2; r_a_d4 <= r_a_d3;endassign o_a = r_a_d4;方法2:always @ (posedge clk) begin r_a_4ff <= {r_a_4ff[23:0],i_a};endassign o_a.

2021-01-31 21:03:56 558

原创 vivado创建项目的步骤

1.create project LXH2.在新建的project工程下新建文件夹LXH.srcs文件夹,在LXH.srcs下新建sources_1和constrs_1文件夹,sources_1文件夹下放.v文件、宏定义.vh文件、ip文件。constrs_1放pin.xdc 和timing.xdc3.Add Sources添加.v文件和约束文件4.添加时钟ip,硬件时钟ip转换成目的ip5.综合6.编辑时钟约束7.生成bit文件,下载到板子...

2021-01-24 20:11:24 520

原创 FPGA笔记1&&vivado工程建立步骤

复位同步复位:按下复位键后,等待时钟上升沿后复位才有效异步复位:复位键有效后即复位,与时钟沿无关//CHN: 异步上沿复位always @ (posedge clk or posedge rst) begin if (rst) begin //CHN: 异步下沿复位always @ (posedge clk or negedge rst_n) begin if (~rst_n) begin //CHN: 同步上沿复位alwa...

2021-01-24 19:49:53 500 1

原创 vivado建立项目的步骤

第一步:新建项目,复制*.v和约束文件到文件夹在目录里新建project_4.srcs文件夹,project_4.srcs中再建constrs_1 sources_1文件夹,constrs_1文件夹中放约束文件pin.xdc timing.xdc debug.xdc文件;sources_1文件夹中放*.v文件和ip。第二步 Add Sources 添加*.v文件,不要添加宏定义文件添加*.v文件后,下图3的位置为小问号第三步:添加IP,这里添加CLOCK1.IP Catalog 2

2020-10-21 21:04:34 2484

原创 FPGA时钟约束

FPGA时钟约束时钟约束概念建立时间约束:在clk上升沿到来之前,数据提前一个最小时间量“预先准备好”,这个最小时间量就是建立时间;建立时间出现时序不过时,需要增加时钟周期。保持时间约束:在clk上升沿来之后,数据必须保持一个最小时间量“不能变化”,这个最小时间量就是保持时间;保持时间出现时序不过时,设计种需要增加组合逻辑电路,增加传输时间。为了更好的理解建立时间约束和保持时间约束,先介绍SR锁存器、D锁存器、D触发器。SR锁存器SR锁存器是最简单的时序电路,SR锁存器的功能:输入R复位,输

2020-10-13 06:18:23 1606

原创 FPGA边沿检测电路及verilog代码

文章目录前言一、上升沿检测电路原理1.上升沿检测电路2.读入数据总结前言所谓边沿检测,就是检测输入信号的上升沿和下降沿。在设计数字系统时,边沿检测是一种很重要的思想,实际编程时用的最多的时序电路应该就是边沿检测电路和分频电路了。所谓边沿检测就是,若是由1变为0,能够检测到下降沿,则被称为下降沿检测电路(negedge edge dttection circuit),能够同时检测上升沿与下降沿的电路称为双沿检测电路(double edge detection)一、上升沿检测电路原理对前一个cl

2020-09-29 20:47:51 1195

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除