FPGA笔记1&&vivado工程建立步骤

 

时钟和频率

1KHZ     1ms      1毫秒

1MHZ     1us       1微秒

1GHZ      1ns       1纳秒

1s = 10(3)ms    =  10(6)us    = 10(9)ns

复位

同步复位:按下复位键后,等待时钟上升沿后复位才有效

异步复位:复位键有效后即复位,与时钟沿无关

 

//CHN: 异步上沿复位
always @ (posedge clk or posedge rst) begin
    if (rst) begin
        
//CHN: 异步下沿复位
always @ (posedge clk or negedge rst_n) begin
    if (~rst_n) begin
        
//CHN: 同步上沿复位
always @ (posedge clk) begin
    if (rst) begin
        
//CHN: 同步下沿复位
always @ (posedge clk) begin
    if (~rst_n) begin

 

always @ (posedge clk) begin
    if (rst) begin
        r_data_valid    <= 1'b0;
    end else begin
        r_data_valid    <= i_data_valid;
    end
end

always @ (posedge clk) begin
    r_data_valid_4ff    <= {r_data_valid_4ff[2:0],r_data_valid};
end

always @ (posedge clk) begin
    if (rst) begin
        r_out_valid <= 1'b0;
    end else begin
        r_out_valid <= r_data_valid_4ff[3];
    end
end

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值