用Vscode编辑verilog代码配置

这篇教程感觉很详细了,我这里分享一下vscode和插件的安装包链接,都是官网下载的,放心食用:
用VSCode编辑verilog代码、iverilog编译、自动例化、自动补全、自动格式化等常用插件
链接:https://pan.baidu.com/s/1zOcb7Ar9YBphjk4cmhs6hg?pwd=mnq4
提取码:mnq4

比较有用的插件:

  • Chinese 语言包
  • Verilog-HDL/SystemVerilog/Bluespec SystemVerilog (识别verilog和sv代码, 查看verilog语法错误)
  • verilog-utils (用于自动例化模块)
  • Verilog Format
  • TerosHDL (这个超级牛,可以生成代码的原理图,状态机转移图,生成说明文档)
    在这里插入图片描述

1.Verilog-HDL/SystemVerilog/Bluespec SystemVerilog

在这里插入图片描述
需要额外安装

    1. ctags (点击f12,跳转到变量定义的地方)
  • 2.iverilog (ctrl+s 保存后,能够识别verilog的语法错误)

1.ctags 配置。

在这里插入图片描述
解压压缩包里面的,在这里插入图片描述在Vs code配置中指向ctags.exe。 格式:D:\bao\work\vccode\ctags\ctags||ctags.exe
在这里插入图片描述
打开系统的环境变量,设置系统变量中的PATH,把ctags的目录添加进去
在这里插入图片描述


2.iVerilog

iverilog用于编译verilog, 安装了这个,vscode才能够正确的识别verilog语法,查看有没有错误。iverilog的使用教程:全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程

和vcs一样,他需要设定仿真时间,

initial begin
    $dumpfile("wave.vcd");        //生成的vcd文件名称
    $dumpvars(0, led_demo_tb);    //tb模块名称
end

编译:

iverilog -o wave -y ./top.v top_tb.v  

-o 生成wave的仿真文件,-y是指,tb中,文件包含了top.v,有这样包含关系的,需要添加-y参数。

运行编译后二进制可执行文件

vvp -n wave -lxt2

生成vcd文件。才能在gtkwave 执行

gtkwave wave.vcd

打开图形化界面,查看波形。

在这里插入图片描述
在vscode中,需要添加-i参数。 在linter选择iverilog.

2.verilog_utils

安装好后,选中需要例化的部分,打开命令面板在这里插入图片描述
输入utils,找到命令
在这里插入图片描述
自动例化后的结果
在这里插入图片描述


3.Verilog Format

这是一个代码风格化的插件,这需要先安装java, java的安装教程:如何在64位Windows 10下安装java开发环境

首先在VSCODE插件中,安装Verilog Format, 之后打开Format插件的配置
在这里插入图片描述
打开我们网盘中的verilog-format-master包。让VScode 内容指向我们的包
在这里插入图片描述
第一个配置指向bin的exe
在这里插入图片描述
第二个配置指向verilog.verilog-format.properties

在这里插入图片描述


4. TerosHDL

参考网址:

  1. 安装python3 环境,python官网找个最新版安装一下就行。
  2. 在VSCODE插件中,安装TerosHDL。
    在这里插入图片描述
    配置完成后,在界面的右上角有几个选项。
    在这里插入图片描述
    可以用来查看状态机转移图,原理图,说明文档这些。
    在这里插入图片描述
    配置完环境变量需要重启VSCODE。
  • 22
    点赞
  • 241
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
1. 安装VSCode 首先需要下载并安装VSCode。 2. 安装Verilog插件 在VSCode的插件市场中搜索Verilog插件,安装并启用。 3. 安装Icarus Verilog Icarus Verilog是一个开源的Verilog仿真器,可以在Linux、Windows和Mac OS X平台上运行。需要下载并安装Icarus Verilog。 4. 配置环境变量 在Windows系统下,需要将Icarus Verilog的bin目录添加到系统环境变量中。在Linux和Mac OS X系统下,需要将Icarus Verilog的bin目录添加到PATH环境变量中。 5. 配置任务 在VSCode中,可以通过任务来编译和运行Verilog代码。可以通过按下Ctrl+Shift+P(Windows和Linux)或者Cmd+Shift+P(Mac OS X)打开命令面板,输入“Tasks: Configure Task”来配置任务。在弹出的对话框中选择“Create tasks.json file from template”并选择“Others”模板,然后编辑tasks.json文件,添加以下内容: ``` { "version": "2.0.0", "tasks": [ { "label": "compile", "type": "shell", "command": "iverilog -Wall -o ${fileBasenameNoExtension}.out ${file}", "group": { "kind": "build", "isDefault": true } }, { "label": "run", "type": "shell", "command": "./${fileBasenameNoExtension}.out", "group": { "kind": "test", "isDefault": true } } ] } ``` 6. 运行Verilog代码VSCode中打开一个Verilog代码文件,按下Ctrl+Shift+B(Windows和Linux)或者Cmd+Shift+B(Mac OS X)编译代码。编译成功后,可以按下Ctrl+Shift+P(Windows和Linux)或者Cmd+Shift+P(Mac OS X)打开命令面板,输入“Tasks: Run Task”并选择“run”任务来运行代码

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值