VCS user guide 初次学习笔记(debug with verdi)

文章介绍了在VCS仿真过程中,如何在分析和详细化阶段使用-kdb选项,以及两种常见的仿真流程(生成FSDB文件和VCS的仿真debug模式)。还提及了如何控制仅产生VerdiKDB文件并指定FSDB文件的dump方法。
摘要由CSDN通过智能技术生成

以三步flow为例,需要在analysis和elaborating阶段均需要 -kdb ,在仿真阶段,有两种flow,其一较为常用,仿真生成FSDB文件,仿真结束后Verdi打开即可(verdi -dbdir *.daidir -ssf *.fsdb);另一种flow是vcs的仿真debug模式(没使用过)。

指定了-kdb选项后,verdi会产生KDB,并且会把synopsys_sim.setup文件指定的库文件中的design dump。

/*

为了仅产生Verdi KDB文件并且跳过fsdb文件的产生,可以指定如下选项:

-kdb=only

该选项仅支持vcs两步flow,不支持vcs三步flow。

*/

两步flow中,vcs产生KDB存放在simv.daidir/ (例如simv.daidir/kdb.elab++)

三步flow中,若使用-work选项指定库目录,则vcs产生KDB存放在-work指定的库目录下

FSDB文件dump:Verilog系统函数

$fsdbDumpfile("tb_top.fsdb"); //指定fsdb文件名

$fsdbDumpvars(0,tb_top); //0表示tb_top该hierarchy下面全部层级,1表示仅仅dump当前层级

个人学习笔记,理解不到位的还请帮忙指出。谢谢!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值