IC验证之UVM常用宏汇总(四)

宏,它有两种定义方式,一是直接在源文件中中使用define进行定义:

define MACRO 或者:define MACRO 100

二是在编译时的命令行中使用如下的方式:

+define+MACRO 或者: +define+MACRO=100

扩展寄存器模型中的数据位宽:

`define UVM_REG_DATA_WIDTH 128

扩展寄存器模型中的地址位宽:

`define UVM_REG_ADDR_WIDTH 64

源代码 :
ifndef UVM_REG_DATA_WIDTHdefine UVM_REG_DATA_WIDTH 64
`endif
自定义字选择(byteenable)位宽:

`define UVM_REG_BYTENABLE_WIDTH 8

源代码 :
ifndef UVM_REG_ADDR_WIDTHdefine UVM_REG_ADDR_WIDTH 64
`endif
去除OVM中过时的用法,使用纯净的UVM环境:

`define UVM_NO_DEPRECATED

除了上述通用的宏外,针对不同的仿真工具需要定义不同的宏:

QUESTA、VCS、INCA分别对应Mentor、Synopsys和Cadence 公司的仿真工具。

UVM的源代码分为两部分,一部分是SystemVerilog代码,另外一部分是C/C++。这两部分代码在各自编译时需 要分别定义各自的宏。

通知:

本章更新后将不在更新…

如果有任何疑问请在下方评论…

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值