VHDL程序基本构建

本文探讨了VHDL程序的基础构建,包括实体和端口模式的定义,结构体的组织方式,以及库和配置的重要概念,为数字逻辑设计提供基础。
摘要由CSDN通过智能技术生成

VHDL程序基本构建

实体和端口模式

VHDL实体是整个设计实体(即独立的电路功能结构)的重要组成部分,其功能是对这个设计实体与外部电路进行接口描述。
实体说明单元的一般语句结构如下:
		ENTITY  实体名 IS
			[GENERIC (参数名 : 数据类型);]
			[PORT(端口表 : 数据类型);]
	END ENTITY 实体名;
	
可综合的电路端口模式
IN:输入端口。定义的通道为单向只读模式,即规定数据只能由此端口被读入实体中。
OUT:输出端口。定义通道为单向输出模式,即规定数据只能通过此端口从实体向外流出,
		或者可以说将实体中的数据向此端口赋值。
INOUT:双向端口。定义的通道确定为输入输出双向端口,即从端口的内部看,可以对此端口进行赋值,
		或者通过此端口读入外部的数据信息;而从端口的外部看,信号既可以由此端口流出,也可向此端口输入信号
BUFFER:缓冲端口。其功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出信号,即允许反馈。
		如计数器设计,可将计数器输出的计数信号回读,以作为下一计数值的初值。与INOUT模式相比,BUFFER回读信号
		不是由外部输入的,而是内部产生、向外输出的信号。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值