矩阵按键实验

矩阵按键扫描原理

方法一:

     逐行扫描:我们可以通过高四位轮流输出低电平来对矩阵键盘进行逐行扫描,当低四位接收到的数据不全为1的时候,说明有按键按下,然后通过接收到的数据是哪一位为0来判断是哪一个按键被按下。

方法二:

    行列扫描:我们可以通过高四位全部输出低电平,低四位输出高电平。当接收到的数据,低四位不全为高电平时,说明有按键按下,然后通过接收的数据值,判断是哪一列有按键按下,然后再反过来,高四位输出高电平,低四位输出低电平,然后根据接收到的高四位的值判断是那一行有按键按下,这样就能够确定是哪一个按键按下了。

实现现象:下载程序后数码管显示0,按下矩阵按键上的按键显示对应的数字
            S1-S4:0-3
            S5-S8:4-7
            S9-S12:8-B
            S13-S16:C-F。

注意事项:无

程序代码:

#include "reg52.h"			 //此文件中定义了单片机的一些特殊功能寄存器

typedef unsigned int u16;	  // 声明一个无符号整数类型u16
typedef unsigned char u8;     // 声明一个无符号字符类型u8

#define GPIO_DIG P0  // 定义数码管的GPIO端口为P0
#define GPIO_KEY P1   // 定义按键的GPIO端口为P1


u8 KeyValue;	//用来存放读取到的键值


u8 code smgduan[17]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,
					0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};//显示0~F的值

/*******************************************************************************
* 函 数 名         : delay
* 函数功能		   : 延时函数,i=1时,大约延时10us
*******************************************************************************/
void delay(u16 i)
{
	while(i--);	
}

/*******************************************************************************
* 函 数 名         : KeyDown
* 函数功能		   : 检测有按键按下并读取键值
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/
void KeyDown(void)
{
	char a=0;
	GPIO_KEY=0x0f;
	if(GPIO_KEY!=0x0f)//读取按键是否按下
	{
		delay(1000);//延时10ms进行消抖
		if(GPIO_KEY!=0x0f)//再次检测键盘是否按下
		{	
			//测试列
			GPIO_KEY=0X0F;
			switch(GPIO_KEY)
			{
				case(0X07):	KeyValue=0;break;
				case(0X0b):	KeyValue=1;break;
				case(0X0d): KeyValue=2;break;
				case(0X0e):	KeyValue=3;break;
			}
			//测试行
			GPIO_KEY=0XF0;
			switch(GPIO_KEY)
			{
				case(0X70):	KeyValue=KeyValue;break;
				case(0Xb0):	KeyValue=KeyValue+4;break;
				case(0Xd0): KeyValue=KeyValue+8;break;
				case(0Xe0):	KeyValue=KeyValue+12;break;
			}
			while((a<50)&&(GPIO_KEY!=0xf0))	 //检测按键松手检测
			{
				delay(1000);
				a++;
			}
		}
	}
}


/*******************************************************************************
* 函 数 名       : main
* 函数功能		 : 主函数
* 输    入       : 无
* 输    出    	 : 无
*******************************************************************************/
void main()
{	

	while(1)
	{	
		KeyDown();		   //按键判断函数
		GPIO_DIG=~smgduan[KeyValue];	  //将数码管显示的值取反,实现闪烁效果
	}		
}

在主函数中,通过调用KeyDown()函数来实现按键检测和读取键值的功能。根据读取到的键值,通过GPIO_DIG端口控制数码管的显示。同时,使用delay()函数进行延时操作,以实现按键消抖的效果。整个程序通过无限循环不断检测按键状态,并根据按键输入来控制数码管的显示。

  • 6
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,针对你的问题,以下是基于FPGA的矩阵键盘实验任务的一些思路: 1. 实验目的 本实验旨在通过FPGA实现矩阵键盘的识别和控制,学习FPGA的IO口配置,数字电路设计和Verilog HDL语言编写,以及矩阵键盘的原理和工作方式。 2. 实验原理 矩阵键盘是一种常用的输入设备,它由多个按键按照一定的规律排列组成,可以通过行和列的扫描来检测按键状态。在FPGA中,可以通过GPIO口配置为输入和输出,并使用Verilog HDL语言编写数字电路实现对矩阵键盘的扫描和解码。 3. 实验步骤 (1) 硬件设计 根据矩阵键盘的规格,确定行列数和按键个数,设计电路图并布线,将行和列分别连接到FPGA的GPIO口。 (2) Verilog HDL编写 根据矩阵键盘的工作原理,编写Verilog HDL代码实现扫描和解码,将按键状态转换为数字信号输出到FPGA的其他模块进行处理。 (3) 系统集成和测试 将硬件和Verilog HDL代码集成在一起,进行功能测试和性能评估,如检测按键的灵敏度、误触率和响应时间等,优化设计并提高可靠性。 4. 实验要求 (1) 硬件设计符合规范,布线清晰、简洁、美观。 (2) Verilog HDL代码编写规范、清晰、易于理解,功能完备、稳定、可靠。 (3) 系统测试结果准确、可靠、稳定,性能达到预期要求。 以上是关于基于FPGA的矩阵键盘实验任务的一些思路和要求,希望能对你有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值