基于verilog的非级联型分频器设计

顶层电路

功能:将50Mhz的主频信号分别分频为2hz,1hz,0.5hz,0.25hz,0.2hz,0.125hz的信号

`timescale 1ns/100ps
module time_divide
(
	input clk,
	input rstn,
	output clk2,
	output clk1,
	output clk0p5,
	output clk0p125,
	output clk0p25,
	output clk0p2,
	output [6:0] seg0,
	output [6:0] seg1,
	output [6:0] seg2
);
localparam CNT1Hz = 500;
localparam CNT2Hz = 500/2;
localparam CNT0p5Hz = 1000;
localparam CNT0p125Hz = 4000;
localparam CNT0p25Hz = 2000;
localparam CNT0p2Hz = 2500;



reg [25-1:0] cnt2Hz;
reg [25-1:0]cnt1Hz;
reg [25-1:0]cnt0p5Hz;
reg [25-1:0] cnt0p25Hz;
reg [25-1:0] cnt0p2Hz;
reg [25-1:0] cnt0p125Hz;

wire clk2Hz;
wire clk1Hz;
wire clk0p5Hz;
wire clk0p25Hz;
wire clk0p2Hz;
wire clk0p125Hz;

//  50MHz->2Hz 的分
//assign clk2 = clk2Hz;
//assign clk1 = clk1Hz;
//assign clk0p5 = clk0p5Hz;
//assign clk0p125 = clk0p125Hz;
//assign clk0p25 = clk0p25Hz;
//assign clk0p2 = clk0p2Hz;

// 50MHz -> 2Hz

assign clk2 = (cnt2Hz >= CNT2Hz ) ? 1 : 0;
always @ ( posedge clk )
	begin
		if ( ~rstn )
		cnt2Hz <= 25'd0;
			else
			if ( cnt2Hz >= CNT2Hz*2 )
			cnt2Hz <= 25'd0;
			else
			cnt2Hz <= cnt2Hz +1;
	end

// 2Hz -> 1Hz
assign clk1 = (cnt1Hz >= CNT1Hz)? 1 : 0 ;
always @ ( posedge clk )
	begin
		if ( ~rstn )
		cnt1Hz <= 25'd0;
			else
			if ( cnt1Hz >= CNT1Hz*2 )
			cnt1Hz <= 25'd0;
			else
			cnt1Hz <= cnt1Hz +1;
	end

	// 1Hz -> 0.5Hz
assign clk0p5 = (cnt0p5Hz >= CNT0p5Hz )? 1 : 0 ;
always @ ( posedge clk)
	begin
		if ( ~rstn )
		cnt0p5Hz <= 25'd0;
			else
			if ( cnt0p5Hz >= CNT0p5Hz*2 )
			cnt0p5Hz <= 25'd0;
			else
			cnt0p5Hz <= cnt0p5Hz +1;
	end
	
// 2Hz -> 0.25Hz
assign clk0p25 = (cnt0p25Hz >= CNT0p25Hz )? 1 : 0 ;
always @ ( posedge clk)
	begin
		if ( ~rstn )
		cnt0p25Hz <= 25'd0;
			else
			if ( cnt0p25Hz >= CNT0p25Hz*2 )
			cnt0p25Hz <= 25'd0;
			else
			cnt0p25Hz <= cnt0p25Hz +1;
	end

	// 2Hz -> 0.2Hz
assign clk0p2 = (cnt0p2Hz >= CNT0p2Hz)? 1 : 0 ;    
always @ ( posedge clk)
	begin
		if ( ~rstn )
		cnt0p2Hz <= 25'd0;
			else
			if ( cnt0p2Hz >= CNT0p2Hz*2)
			cnt0p2Hz <= 25'd0;
			else
			cnt0p2Hz <= cnt0p2Hz +1;
	end
	
	// 2Hz -> 0.125Hz
assign clk0p125 = (cnt0p125Hz >= CNT0p125Hz)? 1 : 0 ;    
always @ ( posedge clk)
	begin
		if ( ~rstn )
		cnt0p125Hz <= 25'd0;
			else
			if ( cnt0p125Hz >= CNT0p125Hz*2 )
			cnt0p125Hz <= 25'd0;
			else
			cnt0p125Hz <= cnt0p125Hz +1;
	end
	

endmodule

testbench设计代码

`timescale 1ns/100ps
module test_time_divide;
reg clk=0, rstn=1;
wire clk2, clk1, clk0p5, clk0p25,clk0p2,clk0p125;
initial
begin
#80 rstn = 0;
#200 rstn = 1;
#4000000 $stop;
end
always #10 clk=~clk;
time_divide u3time_divide
(
.rstn(rstn),
.clk(clk),
.clk2(clk2),
.clk1(clk1),
.clk0p5(clk0p5),
.clk0p25(clk0p25),
.clk0p2(clk0p2),
.clk0p125(clk0p125)
);

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值