verilog用计数器写一个分频模块

系统时钟100MHz,通过分频产生10kHz和0.5Hz的信号

  • 设计文件
timescale 1ns / 1ps


module clk_div(
input       sys_clk     ,
input       sys_rst_n   ,

output      clk_10k     ,
output      clk_05
);
reg [13:0]  cnt_10k     ;
reg [14:0]  cnt_05      ;
reg         flag        ;

assign  clk_10k=(cnt_10k<=4999)?1:0;
assign  clk_05=(cnt_05<=9_999)?1:0;

always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n)
    cnt_10k<=0;
    else if(cnt_10k==14'd9999)
    cnt_10k<=0;
    else
    cnt_10k<=cnt_10k+1;  
end    


always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n)
    cnt_05<=0;
    else if(cnt_05==15'd19_999&cnt_10k==1)
    cnt_05<=0;
    else if(cnt_10k==1)
    cnt_05<=cnt_05+1;
    else
    cnt_05<=cnt_05;
end

        
endmodule
  • 仿真文件
`timescale 1ns / 1ps

module sim_clk_div();
reg     sys_clk     ;
reg     sys_rst_n   ;
wire    clk_10k     ;
wire    clk_05      ;

initial begin
sys_clk=0;
sys_rst_n=0;
#200 sys_rst_n=1;
end

always
#5 sys_clk=~sys_clk;

clk_div u_clk_div(
.sys_clk        (sys_clk),
.sys_rst_n      (sys_rst_n),
                
.clk_10k        (clk_10k),
.clk_05         (clk_05)
);

endmodule
  • 仿真结果
    在这里插入图片描述

系统时钟50M,分频产生400k的信号

  • 设计文件
always@(posedge sys_clk or negedge sys_rst_n )begin
    if(!sys_rst_n)begin
        cnt_124<=1'b0;
        clk_Rb_400k<=1'b0;
    end
    else if(cnt_124==7'd124)begin
        cnt_124<=1'b0;
        clk_Rb_400k<=1'b1;
    end
    else if(cnt_124==7'd4)begin
        clk_Rb_400k<=1'b0;
        cnt_124<=cnt_124+1'b1;
    end
    else
    cnt_124<=cnt_124+1'b1;
end  

总结: 使用计数器分频,只要分频系数是整数就可以实现分频,但要注意的是当分频系数为奇数的时候,输出时钟无法实现占空比为50%

  • 6
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数据线

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值