verilog将100mhz分频为1hz_verilog—分频器设计 -

常用分频器设计

(含0.5hz、1hz、2hz、100hz、1khz、100khz、1MHZ)

一、 原理图

二、 程序(输入频率为50MHZ)

module divclk(clk,div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz);

input clk; output div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz; reg div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz; reg[4:0] count1; reg[14:0] count2; reg[8:0] count3; reg[7:0] count4; reg[2:0] count5; reg[12:0] count6; reg[10:0] count7;

always @(posedge clk) begin if(count1=='d25) begin div1mhz<=~div1mhz;count1<=0;end

else begin count1<=count1+1'b1;end if(count2=='d25000) begin div1khz<=~div1khz;count2<=0;end else begin count2<=count2+1'b1;end if(count6=='d2500) begin div10khz<=~div10khz;count6<=0;end else begin count6<=count6+1'b1;end end

always @(posedge div1khz) begin if(count3=='d500) begin div1hz<=~div1hz;count3<=0;end else begin count3<=count3+1'b1;end if(count4=='d250) begin div2hz<=~div2hz;count4<=0;end else begin count4<=count4+1'b1;end if(count5=='d5) begin div100hz<=~div100hz;count5<=0;end else begin count5<=count5+1'b1;end if(count5=='d1000) begin div05hz<=~div05hz;count7<=0;end else begin count7<=count7+1'b1;end end

endmodule

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值