sequencer和driver

一般在顶层用:driver.seq_item_port.connect(sqr.seq_item_export);作连接,完成item的完整传送。这一类型的tlm端口支持很多方法:主要是:task get_next_item和function void item_done

 

1.uvm_sequencer和uvm_driver都是参数化的类,默认类型是父类类型,这会带来一个潜在的类型转换要求,d4cf9166d4ad4aaeab5fe76699d571c0.png

 

2、挂载用start e208620fa1cf4e528568d15f2d2654c8.png

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值