实验四 RS触发器和JK触发器

1、熟悉Multisim14.0仿真软件环境;

2、掌握常用触发器的特性及设计方法;

3、掌握描述触发器逻辑功能的真值表,特征方程及波形图。

触发器是一种构成时序逻辑电路的基本单元电路,触发器的状态不仅与输入信号有关,还与电路原来的状态有关,具有记忆功能。

  1. RS触发器

电路图:

 通过仿真实验,观测探测器并记录,得真值表如下:

R(—)D

S(___)D

Qn

Qn+1

0

0

0

X

0

0

1

X

0

1

0

0

0

1

1

0

1

0

0

1

1

0

1

1

1

1

0

0

1

1

1

1

 由真值表得特征方程为:

 

       2. JK触发器

电路图:

 真值表如下

CLR

J

K

Qn

Qn+1

0

0

0

X

0

0

0

1

X

0

0

1

0

X

0

0

1

1

X

0

1

0

0

0

0

1

0

0

1

1

1

0

1

X

0

1

1

0

X

1

1

1

1

0

1

1

1

1

1

0

 由真值表得特征方程为

 

 3.实际波形图

        J=1,K=1(置1)

        J=0,K=1(置0)

        J=1,K=1(翻转) 

         J=0,K=0(保持)

实际值与理论值相符合.

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值