首先我们了解一下数字电路的设计方法主要有自上而下和自下而上的设计方法。
自底向上的设计是一种传统的设计方法,对设计进行逐次划分的过程是从存在的基本 单元出发的,设计树最末枝上的单元要么是已经构造出的单元,要么是其他项目开发好的 单元或者是可外购得到的单元。在自底向上建模方法中,我们首先对现有的功能块进行分 析,然后使用这些模块来搭建规模大一些的功能块,如此继续直至顶层模块。如图 13-1 所 示显示了这种方法的设计过程。
自上而下的设计是从系统级开始,把系统分为基本单元,然后再把每个单元划分为下 一层次的基本单元,一直这样做下去,直到直接可以用 EDA 元件库中的原件来实现为止。 在自顶向下设计方法中,我们首先定义顶层功能块,进而分析需要哪些构成顶层模块的必 要子模块;然后进一步对各个子模块进行分解,直到到达无法进一步分解的底层功能块。
实战演练
设计全加器来感受层次化设计的方法。
全加器是用两个半加器以及一个逻辑门电路实现的 ,先设计一个整个项目的程序框架;
根据这个程序框架来写硬件电路代码:
module full_adder
(
input wire in1,
input wire in2,
input wire cin,
output wire sum,
output wire cout
);
wire h0_sum;
wire h0_cout;
wire h1_cout;
half_adder half_adder_inst0
(
.in1(in1),
.in2(in2),
.sum(h0_sum),
.cout(h0_cout)
);
half_adder half_adder_inst1
(
.in1(h0_sum),
.in2(cin),
.sum(sum),
.cout(h1_cout)
);
assign cout = h0_cout | h1_cout;
endmodule
接着来编写仿真代码:
`timescale 1ns/1ns
module tb_full_adder();
reg in1;
reg in2;
reg cin;
wire sum;
wire cout;
//初始化信号
initial begin
in1 <= 1'b0;
in2 <= 1'b0;
cin <= 1'b0;
end
//always用法这部分都成固定内容了
//产生随机数,利用随机函数random,取模求余数,会产生随机数1'b0,1'b1,每隔10ns会产生一次
always #10 in1 <= {$random} % 2;
always #10 in2 <= {$random} % 2;
always #10 cin <= {$random} % 2;
initial begin
$timeformat(-9, 0, "ns",6);
$monitor("@time %t:in1=%b in2=%b cin=%b sum=%b cout=%b",$time,in1,in2,cin,sum,cout);
end
full_adder full_adder_inst
(
.in1(in1),
.in2(in2),
.cin(cin),
.sum(sum),
.cout(cout)
);
endmodule
然后对代码进行仿真验证:
仿真验证波形图:
仿真验证Transcript: