数字电路层次化设计之全加器

首先我们了解一下数字电路的设计方法主要有自上而下和自下而上的设计方法。

自底向上的设计是一种传统的设计方法,对设计进行逐次划分的过程是从存在的基本 单元出发的,设计树最末枝上的单元要么是已经构造出的单元,要么是其他项目开发好的 单元或者是可外购得到的单元。在自底向上建模方法中,我们首先对现有的功能块进行分 析,然后使用这些模块来搭建规模大一些的功能块,如此继续直至顶层模块。如图 13-1 所 示显示了这种方法的设计过程。

自上而下的设计是从系统级开始,把系统分为基本单元,然后再把每个单元划分为下 一层次的基本单元,一直这样做下去,直到直接可以用 EDA 元件库中的原件来实现为止。 在自顶向下设计方法中,我们首先定义顶层功能块,进而分析需要哪些构成顶层模块的必 要子模块;然后进一步对各个子模块进行分解,直到到达无法进一步分解的底层功能块。

 

实战演练

设计全加器来感受层次化设计的方法。

全加器是用两个半加器以及一个逻辑门电路实现的 ,先设计一个整个项目的程序框架;

根据这个程序框架来写硬件电路代码:

module 	full_adder
(
		input	 wire 	in1,
		input 	 wire 	in2,
		input 	 wire 	cin,
		
		output 	wire 	sum,
		output 	wire    cout
);
wire 	h0_sum;
wire 	h0_cout;
wire 	h1_cout;

half_adder 	half_adder_inst0
(
		 	.in1(in1),
		 	.in2(in2),
			
		 	.sum(h0_sum),
		  	.cout(h0_cout)
);
half_adder	half_adder_inst1
(
			.in1(h0_sum),
		 	.in2(cin),
			
		 	.sum(sum),
		  	.cout(h1_cout)
);
assign	 cout = h0_cout | h1_cout;

endmodule

 接着来编写仿真代码:

`timescale 1ns/1ns
module	 	tb_full_adder();
reg		in1;
reg		in2;
reg		cin;

wire 	sum;
wire 	cout;
//初始化信号

initial	 	begin
	in1 <= 1'b0;
	in2 <= 1'b0;
	cin <= 1'b0;
end
//always用法这部分都成固定内容了
//产生随机数,利用随机函数random,取模求余数,会产生随机数1'b0,1'b1,每隔10ns会产生一次
always #10 in1 <= {$random} % 2;
always #10 in2 <= {$random} % 2;
always #10 cin <= {$random} % 2;

initial 	begin
	$timeformat(-9, 0, "ns",6);
		
	$monitor("@time %t:in1=%b in2=%b cin=%b sum=%b cout=%b",$time,in1,in2,cin,sum,cout);
end

full_adder 	full_adder_inst
(
		 	.in1(in1),
		 	.in2(in2),
			.cin(cin),
			
		 	.sum(sum),
		  	.cout(cout)
);
endmodule

然后对代码进行仿真验证:

仿真验证波形图:

 仿真验证Transcript:

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
使用Verilog HDL实现复杂数字电路层次设计需要遵循模块设计的原则,将电路分解成多个模块,每个模块负责实现一个特定的功能。可以使用模块实例和端口连接的方式将多个模块组合成一个完整的电路。 在Verilog HDL中,可以使用module关键字定义一个模块,使用input和output关键字定义模块的输入和输出端口。可以使用wire和reg关键字定义模块内部的信号线和寄存器。 例如,下面是一个使用Verilog HDL实现的2位全加器的模块: ``` module full_adder(input a, input b, input cin, output sum, output cout); wire w1, w2, w3; xor x1(w1, a, b); xor x2(sum, w1, cin); and a1(w2, a, b); and a2(w3, w1, cin); or o1(cout, w2, w3); endmodule ``` 在这个模块中,a、b和cin是输入端口,sum和cout是输出端口。模块内部使用wire关键字定义了三个信号线w1、w2和w3,分别用于存储异或运算的结果、与运算的结果和或运算的结果。使用xor、and和or关键字实现了异或、与和或运算。 可以使用以下代码实例两个全加器模块,并将它们连接成一个4位加法器: ``` module adder4(input [3:0] a, input [3:0] b, output [3:0] sum); wire c1, c2; full_adder fa1(a[0], b[0], 1'b0, sum[0], c1); full_adder fa2(a[1], b[1], c1, sum[1], c2); full_adder fa3(a[2], b[2], c2, sum[2], sum[3]); endmodule ``` 在这个模块中,a和b是4位输入端口,sum是4位输出端口。使用wire关键字定义了两个信号线c1和c2,分别用于存储进位信号。使用full_adder模块实例了三个全加器,并将它们连接成一个4位加法器。 总之,使用Verilog HDL实现复杂数字电路层次设计需要遵循模块设计的原则,将电路分解成多个模块,每个模块负责实现一个特定的功能,然后使用模块实例和端口连接的方式将多个模块组合成一个完整的电路

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值