Quartus&Modelsim安装及有关配置

文章详细介绍了Quartus和Modelsim的安装过程,包括Quartus的破解方法,Modelsim的配置,USB_blaster驱动更新以及如何在VScode中与Modelsim配合进行错误检查。用户需按照步骤操作,从下载安装到环境配置,最后实现VScode的Linting功能设置。
摘要由CSDN通过智能技术生成

一.Quartus和Modelsim的安装

  • 百度网盘链接https://pan.baidu.com/s/103yUg8wK-_8P9qG91r5UVg
    提取码:ikun

  • 下载好如下图
    在这里插入图片描述

  • 选择QuartusSetup那个右键点击管理员身份运行

  • 点击next
    在这里插入图片描述

  • 选择接受协议
    在这里插入图片描述

  • 选择路径(此处不要有中文路径,建议直接把C改成D或者E)
    在这里插入图片描述

  • 勾选下列选项(此处因为我已经安装,所以显示灰色)
    在这里插入图片描述

  • 后面点击next,点击finish完成安装
    在这里插入图片描述

  • 安装驱动
    在这里插入图片描述

在这里插入图片描述

  • 至此Quartus和Modelsim就已经安装完成,Modelsim附带安装,不需要额外再去运行ModelsimSetup

二.Quartus破解

  • 点击刚安装好的Quartus,弹出的界面点击试用30天

  • 将破解器放至目录interFPGA->18.1->quartus->bin64下并运行
    在这里插入图片描述

  • 到刚打开的Quartus中选择菜单栏Tools->License Setup。复制NIC ID。
    在这里插入图片描述

  • 把license.dat 文件拷贝到 D: intelFPGA 路径下, 然后用记事本打开。将复制好的NIC ID替换所有HOSTID=后面的xxxxxxxxxx
    在这里插入图片描述

  • 再次点击Tools->License Setup。在License file中选中刚刚修改的License.dat文件。
    在这里插入图片描述

  • 至此则破解完成。

三.Quartus配置Modelsim

  • Quartus中点击Tools->options,选中EDA Tools Options,填入Modelsim的安装路径
    在这里插入图片描述

  • 选择Tools->Run Simulation Tool->RTL Simulation即可观测波形(由于此处我没有打开工程文件所以是灰色无法点击)
    在这里插入图片描述

  • 至此Quartus中配置Modelsim完成

四.usb_blaster驱动更新

  • 此电脑->管理
    在这里插入图片描述

  • 选择设备管理器后点击右侧显示隐藏的设备
    在这里插入图片描述

  • 右键Altera USB-Blaster更新驱动
    在这里插入图片描述

  • 选择浏览我的电脑以查找更新程序
    在这里插入图片描述

  • 选择如下图安装的路径,勾选包括子文件夹后再点击下一步即可完成usb_blaster的更新
    在这里插入图片描述

五.VScode联合Modelsim检错

  • vscode安装拓展
    在这里插入图片描述

  • 配置环境变量(将modelsim安装路径添加环境变量)
    在这里插入图片描述

  • 打开Modelsim后File->new->project新建项目
    在这里插入图片描述

  • 创建好后会有一个test文件夹,其中的work文件夹下有_info文件。

  • 在vscode中选择设置并搜索verilog,在Verilog->Linting:Linter中选择modelsim;在Verilog->Linting->Modelsim:Work中填入刚刚的work所在的路径。
    在这里插入图片描述

  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值