高云FPGA学习-闪烁LED之gowin软件流程

 

参考手册把对应的引脚绑定

 

 设置完时钟后保存退出这个界面,在全编译一边

 

根据自己的版本选择正确的型号,版本可以看芯片丝印第二排第五位(高云同一型号会有多个版本的芯片,如这里就是A版本和C版本来选择)。

filename        : .v
 description     :
time            : 
author          : jie
function        :
 qq              :1323299504
 ========================================*/
module led(
    input  					Clk		, //system clock 40MHz
    input  			 		Rst_n	, //reset, low valid
    
    output		reg	[1:0]	led      //
);
parameter CNT = 2000_0000;//0.5s
reg [15:00]     cnt;
//cnt
always @(posedge Clk or negedge Rst_n) begin
    if(~Rst_n)
        cnt <= 16'd0;
    else if(cnt ==CNT - 1)
        cnt<=16'd0;
    else 
        cnt <= cnt + 16'd1;
    
end
//led
always@(posedge Clk or negedge Rst_n )begin
    if(~Rst_n)
        led <=2'b11;
    else if(cnt == CNT-1)
        led <= ~led;
end

endmodule 

`timescale 1ns/1ns 		//仿真系统时间尺度定义

`define clk_period 20  	//时钟周期参数定义	

module tb_led(); 
//激励信号定义  
    reg				Clk		; 
    reg				Rst_n	; 
//响应信号定义	  
    defparam	U_led.CNT = 100;
    wire      [1:0] led;

//实例化
led U_led(
    /*input  					*/.Clk  (Clk), //system clock 40MHz
    /*input  			 		*/.Rst_n(Rst_n)	, //reset, low valid
    /*output		reg	[1:0]	*/.led  (led)    //
);

//产生时钟							       		 
    initial Clk = 1'b0;		       		 
    always #(`clk_period / 2) Clk = ~Clk;  		 

//产生激励	 
    initial  begin	 
        Rst_n = 1'b0;	 
        #(`clk_period * 10 + 3);	 
        Rst_n = 1'b1;	 
        #(`clk_period * 500); 
        $stop(2); 
    end	 
    
endmodule

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值