仿真IP——高云伪双端RAM

目录

1、IP介绍

2、设计思路

3、数据深度与宽度配置关系

4、端口示意图

5、仿真

 6、代码


1、IP介绍

       SDPB/SDPX9B 存储空间分别为 16K bit/18K bit,其工作模式为伪双端 口模式,端口 A 进行写操作,端口 B 进行读操作,可支持 2 种读模式 (bypass 模式和 pipeline 模式)和 1 种写模式(normal 模式)。

2、设计思路

     调了一个rPLL(高云在调用PLL界面会提示rPLL性能更好,所以就调用的rPLL)和SDPB。整个设计输入只有复位和时钟,输出读的SDPB数据。我同事教我的一个小思路,把复位给到rPLL而全局的复位信号用rPLL输出的lock信号来控制,这样只要时钟出现不稳定就会复位。写地址、写数据、读地址都由顶层文件产生。

Clk_24m:SPDB写数据时钟;

Clk_12m:SPDB读数据时钟;

Lock/rst_n_address_i:写数据复位,这是rPLL 的lock信号;

Lock/rst_n_address_o:读数据复位,这是rPLL 的lock信号;

address_i:写数据地址;

address_o:读数据地址;

Data_i:写数据;

 CE和OCE信号直接给1,就没有写出来。

3、数据深度与宽度配置关系

4、端口示意图

5、仿真

  读数据会晚读地址一个时钟

 

 

6、代码

/* ================================================ *\
          Filename ﹕ 
            Author ﹕ jie
      Description  ﹕ 
         Called by ﹕ 
Revision History  ﹕ 2023/6/7
                      Revision 1.0
              Email﹕ 1323299504@qq.com
            Company﹕ AWCloud 
\* ================================================ */
module test_sdpb(
    input  					Clk_96m		, //system clock 96MHz
    input  			 		Rst_n	, //reset, low valid
    
    output			[31:0]	data_out	  //
);

//Internal wire/reg declarations
reg     [8:0]          datain_cnt;
wire    [31:00]        datain;
wire    [8:0]          address_i;
reg     [8:0]          address_o_cnt;
wire                   rst_n;


//Module instantiations , self-build module


Gowin_rPLL U_rPLL(
    .reset(~Rst_n), //input reset
    .clkin(Clk_96m), //input clkin
    .clkout(Clk_24m), //output clkout 24m
    .clkoutd(Clk_12m), //output clkoutd 12m
    .lock(rst_n) //output lock
);

//Logic Description
always @(posedge Clk_24m or negedge rst_n)begin
    if(~rst_n)
        datain_cnt <= 9'd0;
    else if(datain_cnt >= 9'd511)
        datain_cnt <= datain_cnt;
    else 
        datain_cnt <= datain_cnt + 1'd1;
end
	
assign datain = { {23{1'b0}}, datain_cnt };
assign address_i = datain_cnt;


always @(posedge Clk_12m or negedge rst_n)begin
    if(~rst_n)
        address_o_cnt <= 9'd0;
    else if(address_o_cnt == 'd511)
        address_o_cnt <= address_o_cnt;
    else if(datain_cnt>=9'h040)
        address_o_cnt <= address_o_cnt + 1'd1;
end

    Gowin_SDPB U_SDPB(
        .reseta(!rst_n), //input reseta
        .resetb(!rst_n), //input resetb
        .oce(1'd1), //input oce
        //**************************************//
        .clka(Clk_24m), //input clka
        .cea(1'd1), //input cea
        .ada(address_i), //input [8:0] ada
        .din(datain), //input [31:0] din
        //**************************************//
        .clkb(Clk_12m), //input clkb
        .ceb(1'd1), //input ceb
        .adb(address_o_cnt), //input [8:0] adb
        .dout(data_out) //output [31:0] dout
    );   




endmodule 

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado 双口 RAM IP 核是通过使用 Vivado 软件中的 RAM IP 核来实现的。双口 RAM 具有两个口,但只能在一个时钟上进行读写操作。其中一个口可以同时进行读写操作,而另一个口只能进行读出操作。这种类型的 RAM 可以用于并行处理或者需要同时读写的应用场景。 在 Vivado 中,通过使用 RAM IP 核并选择相应的配置参数,可以生成双口 RAM IP 核。根据你提供的引用中的信息,Vivado 的 RAM IP 核可以生成多种不同类型的内存空间,包括单口 RAM、简化双口 RAM 和真双口 RAM。其中,双口 RAM 是其中之一。 如果你需要使用 Vivado 中的双口 RAM IP 核,你可以按照以下步骤进行操作: 1. 打开 Vivado 软件并创建一个新的项目。 2. 在设计页面中,点击工具栏上的 "IP Integrator" 图标,进入 IP Integrator 界面。 3. 在 IP Integrator 中,点击 "Add IP" 按钮,并选择 "RAM" 类别下的 "RAM" IP 核。 4. 在 IP 配置页面中,选择 "双口 RAM" 作为 RAM 的类型。 5. 根据你的需求,进行其他参数的配置,如数据位宽、地址位宽等。 6. 完成配置后,点击 "OK" 按钮,将双口 RAM IP 核添加到设计中。 7. 连接适当的时钟和控制信号,并进行其他必要的连接。 8. 生成 Bitstream 并下载到目标设备中进行仿真或部署。 需要注意的是,根据你提供的引用中的信息,在仿真中可能只使用了一个口进行读写操作。因此,在使用 Vivado 的双口 RAM IP 核时,你可能需要根据具体的应用需求和设计要求确定是否需要使用两个口进行读写操作。 : 使用 Vivado 软件中的 RAMIP 核实现真双口 RAM仿真 : Vivado 的双口 RAMIP 核是通过 Block Memory Generator 产生的,其中包含单口 RAM、简化双口 RAM 和真双口 RAM : Xilinx 官方例程中使用寄存器构建了一个真双口 RAM 的模块,并提供了相应的代码示例。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值