【实验五】触发器电路仿真与硬件实现

一、实验要求:

1、首先用 multisim (或logsim)采用门电路或者74LSxx系列设计基本RS、D触发器,进行仿真,写成真值表。

2、在控制台上,用硬件联线完成SR触发器、D触发器的实际电路,对真值表进行验证并记录。

二、实验设计

1.RS锁存器

(1)Logsim仿真

RS锁存器特征表

RSQ
001
100
00保持上一个状态
11禁止、不稳状态

RS锁存器是时序电路的基础。基本的RS锁存器可以用两个与非门或非门实现,由于没有74LS02芯片,这里使用与非门实现RS锁存器。
其原理图如下所示。
在这里插入图片描述
输入端:
R:复位端(Reset)
S:置位端(Set)
输出端:
Q:状态输出端
Q’:反相状态输出端
在Logsim的仿真电路图如下:
在这里插入图片描述
仿真后的真值表为:

RSQQ’
0110
1001
11维持上一个状态维持上一个状态
0011
R-S触发器结论

1.R、S的有效电平是低电平。
2.不论现态如何,在R端输出低电平能将现态强制性地转换到“0”态;
在S端输出低电平能将现态强制性地转换到“1”态;
R和S不能同时事假低电平。

引入74LS00芯片后电路图如下:
在这里插入图片描述

(2)控制台接线

在这里插入图片描述
接好后的逻辑真值表与仿真结果一致。

2.D触发器

RS触发器当输入端均为1是,输出为不确定的状态。为解决这一问题,引入D触发器。

(1)Logsim仿真

下图是D触发器的原理图,目的是解决输入的约束问题。
在这里插入图片描述
工作原理

  • CP=0 : 时钟封锁G3,G4;致使D的变化不能传入G1,G2。触发器保持现态
  • CP=1:时钟封锁解除,D的变化传入G1,G2。若D=0,Q=0;若D=1,则Q=1;

理论逻辑真值表如下:

CPDQ
00保持不变
01保持不变
100
111

logsim仿真电路图如下所示:
在这里插入图片描述
符合理论逻辑真值表。
引入74LS00后如下:
在这里插入图片描述

D触发器结论

1.当CP=0时,无论如何改变D,输出都不会被改变。
2.当CP=1时,输出随D的改变而改变,D是多少输出就是多少。

(2)控制台接线

在这里插入图片描述

三、拓展与延伸

为了解决D触发器“空翻”的问题,这里引入维持阻塞D触发器。
效果:
当且仅当CP的上升沿出现的一瞬间,D的数据才能置入触发器。
其原理图如下:
在这里插入图片描述
logsim仿真电路图如下:
在这里插入图片描述
在这里插入图片描述

四、实验小结

1.在根据各个输入的电平判断输出电平时,要注意是否有CP封锁。
2.在CP未封锁时,只要R为低电平就是“0”,S为高电平就转换“1”。

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值