SOC课程实验——堆栈指针SP设计

SOC课程实验

堆栈指针SP设计

一、功能分析

1、数据存储功能

clk_SP上升沿有效,SP_CS高电平,dataSP。

2、加1功能(出栈)

clk_SP上升沿有效,SP_CS高电平,SP_UP高电平,nSP_EN低电平有效,SP+1SP,SPAR。

3、减1功能(压栈)

clk_SP上升沿有效,SP_CS高电平,SP_DN高电平,nSP_EN低电平有效,SP-1->SP,SP->AR。

二、程序实现

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity module_SP is port(
		clk_SP	:in std_logic;
		reset	:in std_logic;
		SP_CS	:in std_logic;
		SP_UP	:in std_logic;
		SP_DN	:in std_logic;
		nSP_EN	:in std_logic;		
		data	:in std_logic_vector(7 downto 0);
		SP	:out std_logic_vector(7 downto 0)
	  );
end module_SP;
architecture Behavioral of module_SP is

begin
    process (clk_SP,SP_CS,SP_UP,SP_DN,reset)
        variable data_temp: std_logic_vector(7 downto 0);
    begin
        if ( reset = '1') then
            SP <="00000000";
        elsif(reset ='0') then
            if(clk_SP' event and clk_SP = '1')then
                if ( SP_CS = '1' and nSP_EN = '1') then
                    data_temp := data;
                    SP <= data_temp;
                elsif (SP_CS = '1' and nSP_EN = '0' and SP_UP = '1') then
                    data_temp := data_temp+1;
                    SP <= data_temp;
                elsif ( SP_CS = '1' and nSP_EN = '0' and SP_DN = '1') then
                    data_temp := data_temp-1;
                    SP <= data_temp;
                end if;
            end if;
        end if;
    end process;
end Behavioral;
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值