Verilog HDL设计交通灯求助

设计思路是这样,然后在运行时报错,求助大神

 

module traffic_light(
    input clk,
    input rst,
    input emergency_btn,
    output reg[3:0] east_west,
    output reg[3:0] north_south
);

// 定义状态枚举类型
typedef enum logic[2:0];{
    EAST_WEST_GREEN,
    EAST_WEST_YELLOW,
    EAST_WEST_RED,
    NORTH_SOUTH_GREEN,
    NORTH_SOUTH_YELLOW,
    NORTH_SOUTH_RED,
    EMERGENCY
} state_t;

// 定义状态变量和定时器计数器
state_t state;
integer timer_count;

// 初始化状态和计数器
initial begin
    state = EAST_WEST_RED;
    timer_count = 0;
end// 状态机控制交通信号灯
always @(posedge clk) begin
    if (rst) begin
        state <= EAST_WEST_RED;
        east_west <= 4'b1000;
        north_south <= 4'b0100;
        timer_count <= 0;
    end else begin
        case (state)
            EAST_WEST_GREEN:
                if (timer_count ==
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值