使用ULink2在线仿真

Keil ULINK USB接口仿真器,是一款多功能ARM调试工具,可以通过JTAG 或 CODS 接口连接到目标系统,进行仿真或下载程序,已经成为国内主流的ARM开发工具

关于ULINK连线怎么弄,这里不叙述。

USB连接好之后,打开Keil

1. keil 查看是否识别到设备

按Alt+F7 或工程属性

选择:Debug - 点击Setting

在左边框 显示ULink的信息,右边框显示目标设备信息(截图中没有连接目标设备,所以是未连接)

然后,一般先Load 工程源码进去,点击图标

 

 或按 F8

然后选择Debug 

 或 按 Ctrl + F5

接下去就是在线仿真调试了。

F5 下一个断点

F10 下一步

F11 进入内部

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值