【UVM】uvm后门访问硬件代码的遇到的坑

摘要:
在尚未接触UVM之前,所负责的项目基本是使用SV搭建验证平台,在SV的硬件平台中,testbench和DUT是统一在一个层次下面的,这就可以很方便的让我们很随意的可以操作硬件代码的信号,可以直接引用验证平台中信号的路径进行force,@等操作。随着UVM成为验证平台的主流,在基于UVM 的验证case 中,我们难免需要用到读取或者监控RTL 信号的行为,此时,我们就要动动脑筋,到底采取哪种方式,来达到目的。道路千万条,根据不同场合,灵活选取适当方式,会提高代码质量,达到验证目的。

UVM提供了一种机制,后门访问。它可以方便我们直接对RTL中的信号进行操作,比如赋值,force,release等操作。下面介绍几种常用的UVM HDL Backdoor方法:

1.uvm_hdl_check_path

  • 用于检查给定的HDL路径是否存在,存在返回1,否则返回0;
  • 在环境中可以先通过该方法检查Hierarchy是否存在,然后再进行操作
  • 原型:
import "DPI-C" context function int uvm_hdl_check_path(
   	string 	path
)

2.uvm_hdl_deposit

  • 将给定的HDL路径进行赋值,赋值成功返回1,否则返回0;
  • Note:deposit为弱驱动,设定的路径可以再次被design驱动。
  • 原型:
import "DPI-C" context function int uvm_hdl_deposit(
   	string 	path,
   	uvm_hdl_data_t 	value
)

3.uvm_hdl_force

  • 将给定的路径force成某个值,force成功返回1,否则返回0;
  • 原型:
import "DPI-C" context function int uvm_hdl_force(
   	string 	path,
   	uvm_hdl_data_t 	value
)

4.uvm_hdl_release

  • 经之前进行force的信号值进行release,release成功返回1,否则返回0;
  • 原型:
import "DPI-C" context function int uvm_hdl_release(
   	string 	path
)

遇到的坑:

virtual task axi_com(ref svt_axi_transaction xact);
	if(xact.xact_type == svt_axi_transaction::WRITE)  begin
		case(xact.addr):
			addr_A: begin
					`uvm_info("axi_com",$sformatf("force spi[%0d] to 1",xact.data[0],UVM_LOW)
				     uvm_hdl_force($sformatf("test_top.dut.spi[%0d]",xact.data[0]),1'b1);
				end
          endcase
      end
 endtask:axi_com

这里在使用uvm_hdl_force时HDL path 引入了参数,注意看如果这里写成:

uvm_hdl_force($sformatf("test_top.dut.spi[%d]",xact.data[0]),1'b1);

在仿真过程中会报如下错误:
UVM_ERROR:xxxxxxx: report[UVM/DPI/HDL_FORCE] set :unable to locate hdl path(test_top.dut.spi[ 0])

所以在引入参数时要特别注意数据位宽!!!

  • 11
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值