高速AD中的LVDS和FPGA


通常情况下,模拟输入信号通过高速ADC的量化输出的数字信号需要交给FPGA进行处理。如果高速ADC采用LVDS输出,那么经量化处理过的数字信号将会有非常多的LVDS数据差分对。而LVDS数据接收端,接收到的LVDS差分数据对相互之间可能会存在非常小的一个时间差异,该时间差异往往是皮秒级别的,而随着高速ADC采样率的提升,目前大多数的高速ADC采样速率已经达到GSPS级别。

因此皮秒级别的时间差异也会对采集到的数据产生影响。这种情况的发生,往往可能是由于LVDS数据差分对走线长度的不匹配所造成的,这种数据传输中的时间差异对于高速数据传输来说,可能会造成某些数据位的值发生变化,这就相当于向FPGA提供了错误的ADC数据。

因此,无论是在高速ADC芯片的测试评估还是在其应用当中,对这些数据传输所造成的时间差异均要进行预先的处理。

数据传输差异的处理

对于数据传输的时间差异可以有两种方式来解决,一种方法是通过ADC本身的LVDS特性来改变LVDS数据传输的延迟,这通常与LVDS的输出时钟有关。另外一种方法是使用FPGA内部的延迟功能来实现。

  • ADC内部延迟

对于ADC的LVDS数据输出,可以通过ADC内部集成的某些控制来改变输出时钟沿,从而达到传输延迟的目的。这种方式,不能做到有选择的对特定的LVDS数据差分对进行专门的延迟,但是,只是改变输出时钟沿是可行的。这将有助于改变所有LVDS数据差分对相互之间的传输时间关系。

  • FPGA内部延迟

另一种解决数据传输时间差异的方式,是通过调节FPGA内部的延迟特性,FPGA对于每个LVDS差分对都有一个延迟单元。FPGA中有称之为IDELAY的一个延迟单元,它可以来用对每个LVDS数据差分对分别进行延迟调节。FPGA的IDELAY非常灵活,可以在ADC输出到FPGA之间的任何一对LVDS差分对之间进行调节。同样,由ADC本身所带来的LVDS数据差分对的时间偏离,也可以通过FPGA的IDELAY延迟单元来进行补偿。

IDELAY延迟单元的使用并不是必须的,除非板卡设计和布局并没有进行数据对的长度匹配。

Pattern功能检查数据传输错位

为了进行设置和保持时间的验证,系统设计人员可以采用测试模式来生成可以在FPGA中验证的特定Pattern。在测试模式下,可以使用用户自定义的Pattern对每个上升沿和下降沿进行位翻转。这是用来测试FPGA和ADC的LVDS数据接口之间传输状况的最好方法。

这种测试方法确定了ADC和FPGA之间传输的好坏。如果测试模式数据传输完美的匹配每个时钟周期的测试pattern的位翻转,那么对于实际输入的设置和保持时间即是可信的。如果测试模式通过,则可以认为ADC的LVDS数据和FPGA之间的传输是合适的。

数据传输的调整

在pattern测试模式下,生成的眼图的连续的。因此,任何一个眼图窗口都可以用来对数据传输进行校准。

FPGA内部的IDELAY单元可以对输出传输进行微调,以防止由于PCB的走线或FPGA本身代码编译时的时间约束,造成的数据线之间的倾斜。

对于大多数高速ADC来说,测试pattern和数字化输入数据都来自同一个LVDS串行模块,这个模块可以维护输出时钟和输出数据之间的时间关系,因此,测试pattern和正常输入的数字化数据之间没有什么差异。但是,如果由于板卡走线,造成输出数据行之间有一定数量的耦合。那么,如果测试pattern和实际输入信号量化的数据不同于输出翻转的pattern,时间可能会略有不同。

数据传输调整的基准

通常情况下,每一个ADC的LVDS数据差分对都可以用来作为数据传输调整的基准,并以此作为其他LVDS数据差分对的参照来进行微调。

由于数据传输的差异,测试pattern生成的眼图并不一定会是从一个完整的眼图起始。因此,如果采用第一个眼图来进行校准,可能会出现所有LVDS数据输出差分对得窗口不相一致。所以,第二个眼图窗口将会是建议的首选,该眼图必然是完整的采样窗口。

确定采样窗口

通常情况下,每对LVDS差分数据的传输延迟相差不会太大,因此,我们只需要对第一对LVDS差分数据进行分析。如前文所述,第一个眼图有可能是不完整的眼图,因此,我们选择第二个眼图作为参考。

确定调整起始值

将第一对LVDS差分输出的第二个眼图的开始时间值作为参考,当作其他所有LVDS差分数据采样窗口扫描的起始值,对所有的LVDS差分数据进行扫描。并与该参考值进行比对,计算出每对LVDS差分数据延迟值,并将该延迟通过IDELAY单元加在ADC的LVDS数据差分输出和FPGA之间。

 


版权所有权归卿萃科技 杭州FPGA事业部,转载请注明出处

作者:杭州卿萃科技ALIFPGA

原文地址:杭州卿萃科技FPGA极客空间 微信公众号


扫描二维码关注杭州卿萃科技FPGA极客空间


 

### 回答1: FPGA控制ADC(模数转换器)采集LVDS(低电压差分信号)接口的过程一般可以分为以下几个步骤。 首先,FPGA需要提供相应的时钟信号给ADC。因为LVDS接口是差分信号,需要用到两个时钟信号——PCLK和NCLK。FPGA可以通过自身的时钟模块生成这两个时钟信号,并通过差分发送器将其转换成差分信号输出。这些时钟信号将作为采样数据的时间基准。 其次,FPGA需要发送配置数据给ADC,以设置其采样参数。这些配置数据可以通过FPGA内部或外部的存储器进行存储,并通过FPGA的I/O接口(如GPIO)将其发送给ADC。这些配置数据包括采样率、增益等参数。 接下来,ADC开始采集模拟信号,并将其转化成数字信号。由于LVDS接口使用了差分编码方式,ADC将输出两个差异性信号D_P和D_N,它们分别表示正相位和负相位的数字输出。 然后,FPGA通过差分接收器接收ADC的数字信号。差分接收器可以将差分信号转换成单端信号,并通过FPGA的输入引脚接收这些信号。FPGA内部的数字信号处理模块可以进一步对这些信号进行处理,如滤波、数据格式转换等。 最后,FPGA可以将处理后的数据通过其他接口(如UART、以太网等)发送给其他设备进行存储或处理。 总结起来,FPGA通过控制时钟信号、发送配置数据、接收ADC的差分信号和进行数字信号处理等步骤,实现了对ADC采集LVDS接口的控制。这样的系统可以用于各种应用,如信号采集、图像处理、通信等。 ### 回答2: FPGA是一种灵活可编程的器件,可以通过编程实现各种数字电路功能。ADC(模数转换器)是一种用于将模拟信号转换为数字信号的器件,而LVDS(低电压差分信号)接口则是一种高速、低功耗的数字信号传输方式。 在FPGA控制ADC采集LVDS接口的过程包括以下几个步骤: 首先,需要将ADC的控制信号连接到FPGA的GPIO(通用输入输出)引脚上。这些控制信号通常包括采样率、输入通道选择、采样时钟等。通过编程FPGA,可以控制这些GPIO引脚的状态,从而控制ADC。 其次,需要将ADC的数字输出连接到FPGALVDS接口。LVDS接口通常由一对差分信号引脚组成,分别是正向和负向信号线。通过将这对差分信号连接到FPGA的相应的差分输入引脚上,可以将ADC的数字输出传输到FPGA。 在硬件层面上,需要根据ADCFPGA的规格书,配置好电平匹配电路和电阻网络,以确保信号传输的质量和稳定性。同时,需要合理布局、设计PCB板,确保信号线的长度匹配,减小信号的干扰和损耗。 在软件层面上,需要使用FPGA的开发工具进行编程。通过编写FPGA的逻辑设计代码,配置FPGA的各种资源,比如时钟管理、输入输出接口等,实现对ADC的控制和数据的接收和处理。 总的来说,通过FPGA控制ADC采集LVDS接口的过程是一个硬件和软件协同工作的过程。通过正确的硬件设计和编程,可以实现高效、精确的信号采集和处理。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值