Quartus ii 关于波形仿真

一.点击File,点击new,
在这里插入图片描述点击上图的蓝色部分,然后一直OK,出现如下界面
在这里插入图片描述
然后执行【File\Save As …】菜单命令,将其另存为add1a.vwf文件。采用执行【Edit/Insert/Insert Nodeor Bus】菜单命令,得到下图
在这里插入图片描述点击红框部分,得到下图,对下图进行点击【Flier】下拉菜单选取【Pins:all】;点击【List】按钮显示找到的节点;点击【>>】按钮选择所有节点。完成以上三步后,点击【OK】按钮,并再点击仿真信号选择对话框上的【OK】按钮(此OK指上图OK)
在这里插入图片描述完成后点击工具栏中的 图标,保存波形仿真编辑窗口,确认保存路径与文件名后,点击【保存】按钮。注意:波形文
件文件名与仿真的实体名一定要保持一致。
Quartus II软件默认的仿真时间为1μs,通过执行【Edit\End Time…】菜单命令,修改仿真结束时间,为了能便于观察仿真波形将其修改为40μs。通过执行【Edit\Grid Size…】菜单命令,修改显示的栅格线宽度,将其修改为1μs。
对于一位全加器的A信号的设置步骤为,点击 激活A信号,点击工具栏中计数值图标,在弹出的计数值对话框中选择【Timing】标签页,如图27所示的设置A信号计数
值每1.0μs变化一次;采用同样的方法,设置B信号计数值每2.0μs变化一次,如图28所示;
设置C0信号计数值每8.0μs变化一次,如图29所示。

在这里插入图片描述![在这里插入图片描述](https://img-blog.csdnimg.cn/20200423134947627.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9n在这里插入图片描述在这里插入图片描述

然后点击工具栏缩放 图标在波形仿真编辑窗口不断点击鼠标右键,缩小窗口后显示波形。(缩放为红笔圈住的像放大镜一样的东西,右键点击它,出现Customize…,点进去,OK就行,完后一直右键,就可以看到平直线有变化;另一个红笔圈住标1的是执行为高电平,比如画原理图时要求为高电平,可先画出,然后在这里把它调为高电平)
在这里插入图片描述Quartus II软件仿真分为功能仿真和时序仿真,功能仿真仅测试电路的逻辑功能,时序仿真不仅测试逻辑功能,还测试电路的时序关系。执行【Processing\Simulator Tools】菜单命令,显示如图所示的仿真工具对话框,在仿真模式栏中可选择仿真模式。在此选
择功能仿真,点击【Generate Functional Simulation Netlist】按钮,完成后在弹出的对话框中点击【确定】按钮。
在这里插入图片描述
完成后点击Start,若出现No simulation input file assignment specified on simulator page of the Settings dialog box此错误,在assignment 里面打开settings,在assignment settings看到有simulation input 添加。
在这里插入图片描述
在这里插入图片描述
点击settings,出现下图
先点击蓝色部分,然后点击红笔圈住的那3个点,在里面选择你自己建立的文件,然后点击OK,再点击start,完成后点击report就可以了
在这里插入图片描述
在这里插入图片描述

如果有什么问题,可以评论哦

  • 5
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 10
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值