fpga时钟分频_偶分频

在数字电路中,时钟十分重要,时钟处理是fpga的特色,在后续设计中使用频率很高。一般分频我们有俩种方式,一种是用fpga自带的pll核,另一种是自己动手写代码描述。下面我们先用6分频举例来看看如何实现偶数分频。

module clk_6(
	input	wire	clk,
	input	wire	rst,
	output	reg		clk_6	
	);

reg	[2:0] div_cnt;//声明时钟计数,6个计数,即是0-5,所以3比特即可

always@(posedge		clk)begin
	if(rst == 1'b1)begin
		div_cnt <= 3'd0;
	end
	else if(div_cnt =='d5)begin
		div_cnt<=3'd0;
	end
	else begin
		div_cnt <= div_cnt +1'b1;
	end
end

always@(posedge		clk)begin
	if(rst == 1'b1)begin
		clk_6 <= 1'd0;
	end
	else if(div_cnt == 'd2)begin
		clk_6 <= 1'd1;
	end
	else if(div_cnt == 'd5)begin
		clk_6 <= 1'd0;
	end

end
endmodule

实现之后,我们用modelsim进行仿真测试

结果图如下

总结如下:偶数分频较为简单,通过计数器计数即可解决。如果进行N倍(偶数)分频,那么通过时钟触发计数器计数,当计数器从0计数到\frac{N}{2}-1时,输出时钟进行翻转,以此循环下去。

  • 5
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值