vivado的pll时钟约束的重命名

Vivado的pll约束(重命名)主要是下面这三句话

create_generated_clock -name clk_100m -source [get_pins u_my_pll/inst/plle2_adv_inst/CLKIN1] -multiply_by 2 -add -master_clock clk_in [get_pins u_my_pll/inst/plle2_adv_inst/CLKOUT0]

 

set_false_path -from [get_clocks clk_100m] -to [get_clocks clk_out1_my_pll]

set_false_path -from [get_clocks clk_out1_my_pll] -to [get_clocks clk_100m]

在vivado中pll会自动约束,一般约束的名字即为pll输出到BUFG/I线路的名字

即约束语句如下

create_generated_clock -name clk_out1_my_pll [get_pins u

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值