ModelSim中编译synplify库

  1. 退出仿真:quit -sim
  2. 切换目录:cd Y:/Program/LiberoSoCv11/SynplifyPro/lib/vhdl_sim/
  3. 建立新库:vlib synplify
  4. 映射路径:vmap synplify Y:/Program/LiberoSoCv11/SynplifyPro/lib/vhdl_sim/synplify
  5. 编译文件:vcom -2008 -explicit  -work synplify "synplify.vhd"
  6. 编译文件:vcom -2008 -explicit  -work synplify "../vhdl/synattr.vhd"
  7. 开始仿真:vsim ... -L synplify -lib work work.tb_....
  8. 代码头部:library synplify;use synplify.attributes.all;
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ModelSim 2019编译文件12110指的是在使用ModelSim 2019进行仿真时,编译和链接一个名为"library 12110"的文件。 编译文件是将文件的源代码转换为可执行文件的过程。在ModelSim,使用VHDL或Verilog语言编写的源代码文件需要经过编译才能进行仿真。编译文件12110是将文件的源代码转换为可执行文件,以便后续进行仿真。 编译文件的过程一般包括以下步骤: 1. 打开ModelSim 2019软件,在工程目录下找到要编译文件12110所在的路径。 2. 在ModelSim的命令行界面输入编译命令,例如使用VHDL语言编译文件的命令是`vcom`,使用Verilog语言编译文件的命令是`vlog`。 3. 在编译命令后面加上要编译文件的路径和文件名,以及其他需要的参数。例如,编译VHDL语言的文件12110的命令可以是`vcom -work library12110 library12110.vhd`,编译Verilog语言的文件12110的命令可以是`vlog -work library12110 library12110.v`。 4. 执行编译命令后,ModelSim会开始编译文件12110。在编译过程ModelSim会检查源代码的语法错误和逻辑错误,并生成相应的报告。 5. 如果编译成功,ModelSim会生成一个可执行文件,该文件可以用于后续的仿真过程。 通过编译文件12110,我们可以将源代码转换为可执行文件,以便使用ModelSim 2019进行仿真并验证设计的正确性。编译过程出现的错误需要仔细查看和修正,以确保编译成功并生成有效的可执行文件。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值