第一次运行UVM

最近在学uvm, 想先试着搭建一个UVM并运行来。记录一下步骤吧

我看的书是《UVM实战》并下载了对应的example的源码example_and_uvm_source_code.tar.gz

http://www.hzcourse.com/web/refbook/detail/5651/229

解压之后里面还有两个压缩文件puvm.tar.gz(例程源码)以及uvm-1.1d.tar.gz(UVM库)

解压之后进入puvm文件夹,在该路径下有setup.xxx(xxx表示使用的仿真器),我使用的是vcs

所以修改setup.vcs脚本。

setenv VCS_HOME /opt/vcs/E-2011.03 
setenv UVM_HOME ~/uvm/uvm-1.1d
setenv WORK_HOME `pwd`
setenv SIM_TOOL VCS 
set path = (/opt/vcs/E-2011.03/bin ${WORK_HOME}/bin $path)

修改对应的环境变量就可以了。

修改好之后source setuo.vcs初始化环境

并进入该路径下的src\ch2\section2.2\2.2.1

运行./run就可以编译仿真了。

 

 

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值