UVM基础 1

UVM是验证平台,主要包括的组件如图所示:

主要包含的组件有:uvm_driver, uvm_monitor,uvm_sequencer, uvm_sequencer,uvm_env, uvm_agent, uvm_scoreboard.

uvm_driver:从sequencer获取transaction,并将transaction转换成DUT接受的PIN级信号,把transaction里的信息传给DUT端口。

uvm_monitor:监视接口信号,捕捉接口上的事务并将Pin级的信号事务转换成为事务级数据包,之后将数据包发送到analysis组件(包括reference model, scoreboard)进行分析,产生报告。

uvm_sequencer: 启动sequence,将sequence产生的item发送到driver中。

uvm_env:封装了固定不变的组件,包括agent,reference model,scoreboard以及其它的组件,当运行不同的测试用例时,只需要实例化env.

uvm_agent:封装了sequencer, driver以及monitor.为了实现代码的可重用性。

uvm_scoreboard:比较reference model和monitor分别发送来的数据,判断DUT是否正确工作。

 

 

 

 

 

 

 

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值