【SV的虚接口_2024.01.11】

virtual interface

interface简化了模块之间的连接,但无法很好的适用于基于oop的测试平台,无法在program,class中进行实例化,故引入virtual interface。
消除绝对路径,尽可能的减少验证代码的大面积修改。
本质是指针,指向interface的指针。
virtual interface是可在class中实例化的数据类型,使用virtual interface可与DUT进行间接地通信,而无需使用层次结构引用。

virtual interface的使用:

  1. 实例化的接口连接到DUT
  2. 在类中声明虚接口句柄,并有相应驱动
  3. 将虚接口指向实例化的interface
    在这里插入图片描述在这里插入图片描述在这里插入图片描述

类的补充:作用域

oop::b;//访问类中静态变量,动态变量只能通过句柄的方式访问
//在class外定义function
class oop;
......
extern function dis();
endclass

function oop::dis();
......
endfunction
  • 9
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

iKUNqa

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值