verilog中的有符号数(二)

  上一篇文章写到,Verilog的有符号数以补码的形式表示出来,那么就有小伙伴疑问,既然所有的数都已补码形式表示出来,那么我们要看一个设计的仿真结果正不正确,是否还要把这个结果转换成原码呢?

  这个疑问困惑了我很久,直到我写了加法器验证了之后,才豁然开朗,原来自己在钻牛角尖。怎么讲呢?既然结果也是有符号数,那么它自然就代表一个数的补码。比如,我们需要用原码表示一个数-5,那么可以表示为4'b1101;那么补码就会表示为4'b1011。换言之,如果结果是补码形式4'b1011,verilog就已经把它看成-5了。不需要我们再人为转换成原码。

  怎么验证呢?我们把上一篇设计的半加器的测试平台修改一下,将结果得二进制和十进制均打印出来,再看结果: 

//--------------------------Adder_tb.v-------------------------------
`timescale  1ns/1ns


module Adder_tb;
reg signed [3:0] a,b;
//reg   [3:0] b;
wire signed [5:0] out;

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog,可以使用有符号进行累加运算。有符号是使用补码表示的,其范围通常是从最小负到最大正。为了设计一个有符号累加器,你可以使用有符号输入和输出端口,并在接收到有效据时进行累加运算。具体而言,你可以使用一个输入端口i_data来接收-8到7范围内的有符号,使用一个i_valid端口来表示输入据的有效性。当接收到4个据后,可以进行有符号累加运算,并将结果输出到o_data端口。同时,拉高o_ready线来指示累加器已经准备好输出结果。这样,你就可以在Verilog实现有符号的累加器功能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Verilog 和VHDL有符号和无符号相关运算](https://blog.csdn.net/yh13572438258/article/details/125526450)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [verilog符号加法器设计](https://download.csdn.net/download/qq_42025108/16392840)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值