记录贴--【AXI-Stream协议及AXI DMA】

数据手册走读

  • 接口说明

在这里插入图片描述

  1. 分析

- TVALID: TVALID表示主节点正在驱动一个有效的传输。当同时断言TVALID和TREADY时,就会发生转移。
- TREADY: TVALID表示主节点正在驱动一个有效的传输。当同时断言TVALID和TREADY时,就会发生转移。
- 注意: TVALID、TREADY作为主机和从机之间的握手信号,只有两者同时为高的时候,才会进行数据发送

两者时序可分为下述三种情况:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

- TDATA[(8n-1):0]: TDATA是用于提供通过接口传递的数据的主要有效负载。数据有效负载的宽度是一个整数字节数。

- TSTRB[(n-1):0]: TSTRB是一个字节限定符,它指示TDATA的关联字节的内容是作为数据字节还是位置字节处理。
-TKEEP[(n-1):0]: TKEEP是一个字节限定符,它指示TDATA的关联字节的内容是否作为数据流的一部分进行处理。取消断言TKEEP字节限定符的关联字节为空字节,可以从数据流中删除。

上述两个信号的意思就是组合标识当前的TDATA上各个字节的数据类型

  • 字节对应关系
    TSTRB和TKEEP的每一位对应TDATA的一个字节
  • 数据类型对应关系
    在这里插入图片描述
    这里的数据类型有点类似于一些spi协议控制器中当前为写命令还是写数据的区别

- TLAST: TLAST表示数据包的边界,也就是结束标志

- TID[(i-1):0]: TID是表示不同数据流的数据流标识符,自定义IP未使用
- TDEST[(d-1):0]: TDEST为数据流提供了路由信息,自定义IP未使用
- TUSER[(u-1):0]: TUSER是用户定义的边带信息,可以与数据流一起传输,自定义IP未使用

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值