AXI4-Stream

在阅读xilinx的floating point  IP Product Guide文档,有一段关于AXI4-Stream的介绍,在这介绍一下它的机制。

必须包含的端口是TVAILD和TDATA,可选的端口有TREADY,TLAST和TUSER。


TVAILD和TREADY用于握手。

可用于传递信息的有TDATA,TUSER和TLAST。


最基本传输方式:



当发送端(master)的TVAILD拉高并且接收端(slave)的TREAY拉高后,表示可以进行一次数据的传输,在适当的时候两端拉低TVAILD和TREADY信号,准备进行下一次传输

Non-Blocking Mode

这种模式是没有控制的,不过根据接收端的需求来限制发送端的数据发送,有TVAILD是需要的,表示新数据的到来


上图是adder在Non-Blocking方式下的时序,输出延迟一个时钟周期,当s_axis_a_tvalid和s_axis_b_tvalid都有效时,就有结果的输出

Blocking Mode

通过接收端(slave)的TREADY来控制数据的传输,并且还未处理的数据会维持在通道上,直到下次处理


上图为adder在Blocking Mode行为下的时序,当a的s_axis_a_tready和s_axis_a_tvalid都有效,并且b的s_axis_b_tready和s_axis_b_tvalid都有效时,处理当前数据,并在下一个时钟周期输出。


TDATA是自定义的。

TLAST是用于标识一段数据传输的结束。

TUSER是用于用户自定义用的,用于表示一些额外的信息

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值