quartus仿真24:数据选择器MUX四选一74153八选一74151

  • 数据选择器又称多路选择器(Multiplexer,MUX)常见数据选择器有2选1、4选1和8选1数据选择器。数据选择器功能可类比于一个单刀多掷的开关。
  • 2选1选择器是在A的控制下从D1D0选择一路送到Y。A=0时将D0上的数值送给Y,A=1时将D1上的数值送给Y。
    在这里插入图片描述
  • 用8选1的MUX实现逻辑函数
    • 8选1MUX的型号为74151,对将函数写成最小项形式并分配系数m0和m6接低其余接高。

在这里插入图片描述

  • 8选1实现两个输入变量的函数
    在这里插入图片描述
  • 逻辑变量数大于地址位数
    在这里插入图片描述
  • 数据选择器进行扩展,用4选1MUX实现8选1MUX
    • 利用使能端
    • 树状扩展
    • 需要指出的是C接口时MSB,A接口是LSB
    • 同时放个74151作为对照,出错点:将两个输入端接到一起,修改为用或门接在一起
    • 设置D端输入为0101_1110,可以看出当A2A1A0=111时输出0(最后一个数),串行输出为01111010,D0-D7的顺序来读
      在这里插入图片描述
  • 用4选1MUX实现16选1MUX(树状扩展)
  • 高两位A3A2选择Y4Y3Y2Y1哪一路传输,低两位A1A0共接控制4选1MUX准备一路数据放在输出Y口
  • 准备数据D[15…0]=1010_1100_1110_0001,按照D0先输出的顺序为1000_0111_0011_0101

在这里插入图片描述

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值