多路选择器(4选1)

Verilog 多路选择器
实验内容:做一个4选1的mux,并且进行波形仿真 和2选1的mux对比,观察资源消耗的变化
1.MUX4-1
实验代码部分:

module mux4_1
(
	IN0 ,	 // input 1
	IN1 ,	 // input 2
	IN2 ,	 // input 3
	IN3 ,	 // input 4
	S0 ,	 // select 1
	S1 ,	 // select 2
	OUT 
);        // out data

	parameter WL = 4; // 输入输出数据信号位宽
	
	input [WL-1:0] IN0, IN1, IN2, IN3;
	input S0,S1; // 通道选通的控制信号
	output[WL-1:0] OUT; // 选择器的输入数据信号
	reg [WL-1:0] OUT;
	
	// 生成组合逻辑的代码
	always @ (IN0 or IN1 or IN2 or IN3 or S0 or S1) begin
		if(S0&&S1)
			OUT = IN3;
		else if(S0&&!S1)
			OUT = IN2;
		else if(!S0 &&S1)
			OUT = IN1;
		else
			OUT = IN0;
		end

endmodule

RTL 视图
在这里插入图片描述
波形仿真图
在这里插入图片描述
资源消

  • 5
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值