D触发器

本文介绍了使用Verilog实现的D触发器,该触发器在时钟上升沿触发,输入D在非复位状态下影响输出Q。同时,当Reseten为0时,Q被复位为0;当Reseten为1时,D的值传递给Q。
摘要由CSDN通过智能技术生成

D触发器

 本代码描述的是一个正跳变沿触发的 D 触发器。时钟信号是唯一可以引起 Q 输出端发生变化的信号。当且仅当Clock处于上升沿时,输入D才传送给输出Q。在其他时刻,D的变化并不影响输出Q。

module flipflop (D, Clock, Q);
    input D, Clock;
    output reg Q;
	
    always @(posedge Clock)
        Q = D;
endmodule
`timescale 1ns/1ns
module flipflop_tb;
  reg D,Clock;
  wire Q;
  initial
  begin
     D=1'b0;
     Clock=1'b1;
  end
  always
    #5 Clock=~Clock;
  always
    #10 D=~D;
  initial
  #100 $stop;
    flipflop flip(D, Clock, Q);
  Endmodule

其中Clock每隔5个ns取一次反;D每隔10ns取一次反。输入信号在100ns时停止输入。


含同步复位端的D触发器

 module flipflop45 (D, Clock, Resetn, Q);
	       input D, Clock, Resetn;
	       output reg Q;
	
	    always @(posedge Clock)
		    if (!Resetn)
			   Q <= 0;
		   else 
			   Q <= D;
	
     endmodule

如果Reseten =

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值