触发器_D触发器示例

本文介绍了D触发器的基本原理,强调了在时钟上升沿D信号会被复制到输出Q。接着,详细讨论了带复位端的D触发器,包括同步清零和异步清零的概念,解释了它们在电路代码中的实现差异,以及在时钟边沿和清零信号作用上的不同响应机制。
摘要由CSDN通过智能技术生成

一:最简D触发器

D为信号 输入端,clk为时钟控制端,Q为信号输出端。这种触发器的逻辑功能是:不论触发器原来的状态如何,输入端的数据D(无论D=0,还是D=1)都将在时钟clk的上升沿被送入触发器,使得Q=D。其特征方程可描述为Qn+1=Dn。

0fd7a301a694891891ba34482d7c80f3.png

4cae068666cb89ccc53f7c498d8aad74.png
D触发器的真值表

dc6cfdde90b32f10e54321d3772328b5.png

二:带复位端的D触发器

在D触发器的实际使用过程中,有时候需要一个复位端(也称清零端)

8449600d69f5db41cfa2ef47e1db320a.png

电路上电时,电路的逻辑处于不定状态,复位脉冲的到来将电路初始化为Q=0的状态。随后,在时钟的控制下输出端D的数据在每个时钟上升沿被置到输出端Q。

(1)同步清零代码

89b36f7bb9a353e0144c6f963a118369.png

(2)异步清零代码

3c1098d3900eb8cf2814f2da849e2c62.png

同步清零和异步清零触发器的电路代码只是在always后的敏感向量表上有所不同。对于同步清零,并不是清零信号一变化电路马上就会被置0,清零信号有效后需等待时钟的有效边沿到来后电路才会有动作,因此不应把清零信号写入敏感向量表中。而异步清零时,只要清零信号有效,电路就会马上更新,输出置0,因此对于异步电路,清零信号有必要写入敏感向量表中。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值