自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(87)
  • 收藏
  • 关注

原创 Xilinx FPGA数字信号处理系统设计指南学习笔记——八DSP信号处理

基于DSP的数字信号处理以TI的TMS320C6416T为例进行探究。

2024-03-25 16:23:00 445

原创 高级架构师备考(十五)——软件架构评估

一、系统架构1.三层次模型:a.领域开发环境;b.领域特定应用开发环境;c.执行环境。2.基于架构的软件开发ABSD:a.视角和视图,业务、质量和功能需求;b.三个基础,功能的分解,通过选取架构风格实现质量和业务需求,软件模板的使用。

2024-03-25 16:18:59 235

原创 高级架构师备考(十二)——软件需求

1.软件需求包括:业务需求,用户需求,系统需求。其中系统需求包括技术需求的功能需求,非功能需求和设计约束。2.需求获取:联合需求计划(JRP),即开会。用户访谈,获取领域知识。采样,进行数理统计。

2024-03-25 16:18:03 199

原创 高级架构师备考(十一)——软件工程基础知识

1.软件工程概述:软件定义时期,可行性研究和详细需求分析;软件开发时期,设计与实现;软件运行和维护,软件产品移交客户。2.软件系统的文档:用户和系统文档,系统功能和使用方法,系统设计、实现和测试等。

2024-03-25 16:17:04 94

原创 硬件电路常见滤波器设计一

1.使用滤波器的目的:滤除信号中不需要的部分。2.滤波器的工作原理:通过选频,使信号中特定频率部分通过,极大衰减其他频率成分从而实现滤除干扰噪声或进行频谱分析。

2024-03-14 17:53:14 280

原创 PCIE接口协议学习三

1.Power Management 简称PM,PCIE电源管理。2.PM状态分为:D状态与特定功能相关联,D0是运行状态和消耗最大功率、D1和D2是中间节能状态、D3热是非常低功率状态、D3冷是断电状态;L状态是运行状态,L0、L1、L1.0、L1.1和L1.2是各种低功率。

2024-03-14 17:51:56 205

原创 PCIE接口协议学习二

1.RC:复杂根系,在这里表示将CPU/内存子系统连接到I/O的I/O层次结构根目录,即CPU外出IO,该RC可能支持一个或多个PCIE端口,具体情况需看CPU具体型号决定,如以FPGA为例,A7仅一个高速BANK,所以最多支持一个PCIE端口,而K7具备高达四个高速BANK,所以最多可以支持四个PCIE端口。下图示例了一个根复杂(RC)、多个端点(I/O设备)、交换机和PCI Express到PCI/PCI-X桥组成的拓扑示例,所有设备都通过PCI Express链接相连。

2024-03-11 17:05:28 278

原创 PCIE接口协议学习一

1.PCIE的功能:PCI Express是一种高性能、通用IO互连接口,可用于各种计算和通信平台。支持电源管理、服务质量检测(QoS)、热插拔、数据完整性分析与错误处理等功能。

2024-03-11 17:03:58 478 1

原创 高级架构师备考(十)——信息化战略体系与CRM

第一阶段(以数据处理为核心,围绕职能部门需求):企业系统规划法(BSP),CU矩阵,重识数据的创建和使用,自上而下的识别,自下而上的设计;战略集合转化法(SST),当一个“信息集合”,转换为信息系统战略信息面向业务主题,数据稳定,业务和流程多变。第二阶段(以企业内部为核心,围绕企业整体需求):战略数据规划法(SDP),企业模型建立,主题数据库;战略栅格法(SG),栅格及规分矩阵。第三阶段(综合考虑企业内外环境,以集成为核心,围绕企业战略需求):价值链分析法(VCA),分析其中对企业增值最大信息;

2024-03-09 00:37:58 277

原创 动态电路分析(1)——一阶电路零输入响应

动态元件以外的线性电阻电路可以用戴维宁定理或诺顿定理转换为电压源与电阻的串联,或电流源与电阻的并联。对于这样的电路,所建立的电路方程将是一阶线性方程,对应电路称为一阶电路。如图所示电路中,开关闭合后,电容C开始放电,电容储存的能量将通过电阻以热能形式释放。即为何在板卡下电后,我们使用万用表测量板卡内电压测试点,所得的的电压值并非直接为零,而是一个跳变的值然后逐渐趋近于零,直至成为0。3、零输入:电路中不具备激励电源,仅由动态元件初始储能使电路状态发生改变,所对应的电路状态叫做电路零输入状态。

2024-03-09 00:36:18 384

原创 隔离电源的选取与使用

隔离电源1.工作原理:使输入输出电源之间没有电气连接,从而实现输入与输出之间是绝缘的高阻态。2.隔离电源使用场合,如需了解何时需要使用隔离电源,需要先看一下隔离电源与普通电源的区别。

2024-03-09 00:34:54 265

原创 隔离电路工作原理

隔离,确保输入输出端电气隔离,防止潜藏电气问题引发事故,增加电路使用安全性;4.信号传输:隔离电路将输入信号传输至输出端,为例保证信号传输的可靠性,隔离电路需要具备较好的耐干扰性、抗干扰性和信号传输带宽。隔离电路,使用了电气隔离的概念,作用是在电路之间建立一个隔离屏障,使隔离两侧电路互不影响,从而增加电路使用的安全性。3.变压器隔离:输入输出端线圈无接触,使用电磁感应完成输入输出端隔离,两端无直接电气连接,两端电压通常不同。2.电容器隔离:使用电容作为隔离元件,通过两个电极之间的介质实现两端电气隔离。

2024-03-09 00:33:01 413

原创 电磁场隔离

通过ADI官网隔离分类可以看到,使用磁场隔离技术iCoupler技术设计的隔离芯片包含RS232隔离、RS485隔离、CAN隔离、SPI隔离这类常见接口隔离芯片和标准数字隔离、电源隔离、隔离放大器等其他隔离芯片。1.电磁隔离:基于磁场隔离技术iCoupler(ADI的一项专利技术)制成的隔离芯片,其本质是使用电磁阻抗隔离两个电气系统,使两个电气系统之间没有电流通过。MAX33251E是一款600V隔离2Tx/2Rx和1Tx/1Rx RS-232收发器,该隔离芯片具有±15kV ESD和集成电容。

2024-03-05 17:53:27 714

原创 高级架构师备考(十四)——层次架构风格

表示层在客户机上,功能层在应用服务器上,数据层在数据库服务器上。优点,a.各层逻辑相对独立;b.结合了CIS反应速度快,交互性强与BIS传播范围广的优点;SOAP,WEB远程调用,传递信息;b.定义领域特定元素;c.定义领域特定的设计和实现需求约束;8.DSSA的三个基本活动:a.领域分析,识别信息源、分析领域需求、获得领域模型;b.领域设计,目标,获得DSSA;7.DSSA特定领域的软件架构:垂直域,在一个特定领域通用的软件架构,是一个完整的架构;3.三层C/S架构:将客户端变为用户客户端上的浏览器;

2024-03-05 17:50:33 202

原创 Xilinx FPGA数字信号处理系统设计指南学习笔记——七 通信信号软件处理方法

软件无线电(Software Radio,SR)关键技术包括:1.宽频带DAC和ADC转换器的使用,将数字化处理部分尽可能靠近天线。2.将尽可能多的功能定义到软件(或可编程逻辑器件)中,用于代替传统独立元件。3.在软件中实现中频(IF)、基带和比特流处理功能。4.在规范型SR或架构灵活的五线电中,硬件可由简单软件定义其功能。

2024-02-21 17:36:20 152

原创 高级架构师备考(十三)——系统架构设计

4.独立构件风格:进程通信,构件是独立的进程,连接件是消息传递。优点,为软件复用提供强大的支持,为构件的维护和演化带来了方便;架构为系统提供了一个结构、行为和属性的高级抽象,由构件描述、连接件间相互作用、指导构建集成的模型及模型间约束构成;2.返回、调用风格:主程序、子程序,把问题划分成若干个处理步骤,构件即为主程序和子程序。面向对象,构件是对象,连接件是对象间交互方式,对象通过函数和过程调用交互。1.数据流风格:批处理,构件间只通过数据传递交互,每一步在前一步结束后才结束,以整体方式传递;

2024-02-21 17:35:21 150

原创 示波器的使用——触发篇

示波器Trigger的作用:用来定义一段时间,来让一个重复信号可以被使用者稳定的观测到。

2024-02-21 17:24:16 836

原创 示波器的使用——面板篇

该处我们以Tektronix的DPO 3034 Digital Phosphor Oscilloscope为例进行面板介绍。

2024-02-21 17:18:59 1031 2

原创 典型模拟电路及其使用方法一一积分和微分电路

积分电路:积分电路广泛应用于模拟信号运算电路。它是组成模拟计算机的基本单元,用于对微分方程的模拟。也是控制与测量系统中常用单元,用途,实现充放电过程中的定时、延时及波形产生。微分电路:微分是积分的逆运算。将积分电路中的R与C互换即可获得微分电路。

2024-02-03 22:34:02 649

原创 电路的串并联谐振

如图所示,该电路由变频正弦电压源Us激励,由于感抗、容抗随频率变动,所以电路中的电压、电流响应亦随频率变动。2.电容电感两端产生高电压:谐振状态下,电容电感相互进行电流交换,使得电容电感两端伏值最大,可能高于电源电压。1.电路阻抗小且呈电阻性:在谐振状态下,感抗容抗相互抵消,电路总阻抗最小,电源电压一定时,电流最大。3.谐振频率固定:如图公式②的值,除非受外界干扰谐振频率发生变化,自动调整致新的谐振状态。如①,当容抗与感抗完全抵消,电路进入并联谐振,谐振频率如公式②所示。1.电压一定时谐振电流最小。

2024-02-03 21:49:52 365

原创 惠斯通电桥

其中,R1和R2是已知电阻,R3是可调电阻,R4是待测电阻。1.在使用惠斯通电桥进行电阻测量时,我们通常使用其电桥平衡原理,即当满足条件R1R4=R2R3时,对角线支路中电流为零,电桥处于平衡状态。5. 记录已知电阻和调节电阻的值:在电桥平衡状态下,记录已知电阻R1、R2和可调电阻R3的值。2. 将可调电阻R3调节到一个合适的初始值,使电桥接近平衡状态,即R5无电流流过,两端无电势差。将电桥中的电阻换作电容,与电阻测量原理相似,交差对应位置电容乘积相等时电桥达到平衡。KVL,支路电压间施加线性约束关系。

2024-02-03 21:47:02 1175

原创 典型模拟电路及其使用方法——求和电路

求和电路的输出电压由多个输入电压求和决定。有同相输入方式和反向输入方式。

2024-01-29 10:37:31 574

原创 Xilinx FPGA数字信号处理系统设计指南学习笔记——六 量化误差、量化误差信号及信噪比

由此可见前文对ADC的量化误差e(n)与系统实际输出是有关的,及系统输出是受控的、可调节的,及ADC等效系统是一个闭环系统的推测是正确的。1.量化误差的定义:Quadratuer Error,指由于ADC对模拟信号量化而产生的误差,该误差最大可以达到量化等级的一半。在这里笔者认为是用其记录各个时间点系统产生的量化误差的信号,将量化误差信号与实际信号进行叠加即可完成对系统的误差补偿。量化误差信号:实际并不存在的,人为引入的信号,表示了采样误差信号电压与时间的关系,引入的目的是便于对系统进行分析。

2024-01-29 10:35:58 412

原创 典型模拟电路及其使用方法——运放基础及比例运算电路

1.理想运放差模电压为零:运放工作在线性端时,同相输入端与反向输入端电压相等,犹如两点间短路一样,所以我们称这种现象为虚短。u+=u-。2.理想运放输入电流为零:理想运放差模输入电阻阻值无穷大,所以其两个输入端都没有电流,犹如两点被电路断开一样,称为虚断。i+=i-。

2024-01-23 12:59:06 560

原创 电路基础(5)——继电器选型

①继电器性能:单稳型,线圈导通,接触点动作,线圈关断,接点复位。闭锁型,又分为1绕组闭锁型和2绕组闭锁型,1绕组闭锁型,线圈导通,接点动作,线圈关断,接点保持,线圈反向导通,接点复位。2绕组闭锁型,拥有置位线圈与重置线圈,置位线圈导通,接点动作,置位线圈关断,接点保持,重置线圈通电,接点复位。②接点极数/接点构成:数字表示有几组触点,如2a,双刀单掷、2c,双刀双投掷。

2024-01-23 12:39:58 632

原创 时钟源在系统设计中的定义及使用

2.对于部分有特殊要求的芯片间,可能会有需使用同源时钟的要求,设计要求可以在时钟应用框图处参见,通常是从设备有特殊需求,要与主设备之间时钟同源,此时我们可以使用时钟buffer对输出进行扩展使用。这里的系统时钟源讨论的是在系统设计中不同设备间系统时钟源的关系,指的是外部系统时钟源。2.系统同步系统:系统同步的概念与源同步相对应,指数据的发送端与接收端时钟频率不同。5.不同设备间时钟是否同源,判断标准为时钟是否出自同一时钟源,如是否来自于同一晶体振荡器。二、不同的系统时钟源对电路设计的影响。

2024-01-22 11:22:38 422

原创 寄存器是什么

1.寄存器的定义:寄存器是CPU、主存储器和其他数字设备内部用于存放数据的一些小型存储区域。2.寄存器的组成:一般由多个触发器构成。可以分为内部寄存器和外部接口寄存器。3.寄存器的特点:读写速度高、寄存器间传输速率快。

2024-01-14 18:58:27 702

原创 黑金开发板逻辑编写学习——IIC温度读取模块

使用温度传感器LM75进行温度读取。温度测量范围-55~+125℃,分辨率为0.125°C。芯片具有两种工作模式,一种比较模式一种中断模式。设置上限温度Tos与下限温度Thyst。比较模式,温度高于上限温度Tos,OS引脚输出active,温度低于下限温度Thyst,OS引脚输出重置,该模式下适合控制外部设备冷却风扇或热敏开关。中断模式,在温度高于上限温度Tos或低于下限温度Thyst时OS引脚active。工作状态图数据寄存器数据计算公式。

2024-01-14 18:55:50 489

原创 IPMI协议学习——六IPMB接口

系统软件使用BMC作为IPMB控制器,通过系统接口向IPMB发送消息。系统管理软件可以发送它想要的任何IPMB请求或响应消息,只要该消息满足发送消息命令的最大长度要求。因此,系统软件不仅可以向IPMB发送请求并接收来自IPMB的响应,而且系统软件也可以接收来自IPMB的请求并发送回IPMB响应。除了支持IPMB上的非智能设备外,主写-读命令还提供了对管理控制器后面的专用总线上的非智能设备的访问。系统管理软件负责提供IPMB消息的所有字段,包括请求者和响应者的从属地址与校验和。

2023-12-31 23:27:07 711

原创 高级架构师备考(九)——系统配置与性能评价二

1.业务处理系统TPS:电子数据处理系统EDP、数据输入(原始数据准确、迅速输入计算机系统)、数据处理(批处理、联机事务处理)、数据库维护(确保数据库数据及时、准确)、文件报表(输出给用户)的生成和查询处理(用户可批次、联机查询)。由数据库子系统(数据)、模型库子系统(推理、判断、场景模拟)和对话子系统(用户)形成的三角形分布结构。d,模型建立,帮助决策者理解与选择。5.专家系统(ES):组成,知识库(具有实时性与启发性)、综合知识库(动态知识库,用来存中间数据)、推理机、知识获取、解释程序、人机接口。

2023-12-31 22:16:46 340

原创 Xilinx FPGA数字信号处理系统设计指南学习笔记——五 模数转换器及量化效应二

添加量化误差后我们可以看见ADC等效成了一个开环系统,量化噪声看似与输出无关,笔者各人认为ADC大概率应该是一个闭环系统,即系统对输出信号有检测调控的能力,察觉到输出不符合预期后会调整量化误差e(n)的值来调整输出。如图,对于一个位数很少的ADC,量化一个幅度很小的正弦波,在考虑噪声时,如其幅值为q/10,则输入到中间升高ADC后输出为000,输入到中间水平ADC后输出为000和111。即使用中间水平型ADC时,在零电平量化时,ADC一个模拟输入对应了两个数字输出,这将造成ADC误差。VFS:满量程电压。

2023-12-31 22:01:44 416

原创 常见的保护电路设计方案

如RS232接口防护我们一般选用16V双向TVS,原因有两个,第一RS232接口规定逻辑“1”的电平为-5V~-15 V,逻辑“0”的电平为+5 V~+15 V,我们选用防护器件时器件耐压值应略高于接口规定的逻辑电平以免接口丢失信息;电源线路过流是指减低电源线路上的噪声与干扰,我们通常使用磁珠与滤波电容进行实现,具体内容详见《电路基础(1)——电阻电容器件选型》与《电路基础(4)——光耦与磁珠工作原理及选型》。1.保险丝,在电源与外接连接电流间添加保险丝,当电流过大,保险丝熔断,电路开路,从而保护电路。

2023-12-31 22:01:01 512

原创 IPMI协议学习记录——五逻辑管理设备类型

IPMI逻辑管理设备类型介绍

2023-12-22 01:37:08 418

原创 JTAG、边界扫描、双核处理器JTAG处理及JTAG菊花链电路设计

JTAG、边界扫描、双核处理器JTAG处理及JTAG菊花链电路设计

2023-12-22 01:27:35 2026 1

原创 高级架构师备考(八)——系统配置与性能评价一

计算机,时钟频率(主频)、运算速度、运算精度、内存的存储容量、存储器的存取周期、数据处理速率PDR、吞吐率、各种响应时间、各种利用率、RASIS特性(即可靠性Reliability、可用性Availaility、可维护性Sericeability、完整性和安全性Integraity and Security)、平均故障响应时间、兼容性、可扩充性、性价比。8.信息系统生命周期(产生、开发、运行、消亡):总体规划、系统分析、系统设计、系统实施与验收。路由器,设备吞吐量、端口吞吐量、丢包率、时延、时延抖动。

2023-12-20 12:58:38 292

原创 架构师备考易错点总结(2)

16.HCP客户端收到DHCP服务器回应的ACK报文,通过地址冲突检测发现服务器分配的地址冲突或其他原因不可用,则发Decline报文,通知服务器,已分配IP地址不可用。8.混成系统在工业控制和国防大量存在,一般由离散分离组件和连续组件并行或串行组成,组件之间的行为由计算机模型进行控制。11.服务器采用虚拟化技术,当一台服务器出现物理故障将业务迁移到另一台,以保障业务连续性。9.段页式内存管理,程序按逻辑分为多段,每一段内又进行分页,使用段页表进行管理。14.DHCP服务器未提供服务,应检查网络连接电缆。

2023-12-20 12:57:43 290

原创 Xilinx FPGA数字信号处理系统设计指南学习笔记——四 模数转换器及量化效应一

2.信号的线性与非线性:线性,变量之间的关系是直线,成比例的,即当一个变量发生变化时,另一个变量也会按照固定比例变化。非线性,变量之间的关系不直接、不成比例,即当一个变量发生变化,另一个变量不是按固定比例进行变化。c.双斜率型ADC,即内部使用一个连接到参考电压的电容,由一个数字计数器计算电容充电时间,完成模数转换。因为语音信号动态范围大,“oh”与“b”型声音幅值很大,“sh”型幅值很小,如使用均匀量化,“sh”将落入LSB门限之下,被量化到零,造成信息丢失。类似的,DAC也有相应情况。

2023-12-20 12:57:04 510

原创 verilog学习记录(10)——二线制IIC一

读出数据帧:启动信号+1010(I2C总线器件特征编码)+3位芯片地址/页地址+写状态位(后续进行一字节数据写操作)+ack位+E2存储单元地址+ack位+启动信号+1010(I2C总线器件特征编码)+3位芯片地址/页地址+读状态位(后续进行一位数据读操作)+ack位+读出数据+非ack+停止信号。写入帧:启动信号+1010(I2C总线器件特征编码)+3位芯片地址/页地址+写状态位(后续进行一字节数据写操作)+ack位+E2存储单元地址+ack位+写入数据+ack位+停止信号。

2023-12-18 23:48:21 519

原创 高级架构师备考(七)——计算机网络二

5.网络规划与设计:三层模型,核心层,只负责高速数据转化;交换层,提供用户相关信息。直接附加存储(DAS),将存储设备通过SICI接口直连到一台服务器上使用,其本身进行硬件堆叠;冲突域与广播域:物理同一个Hub属于同一个冲突域,同一个局域网属于同一个广播域。子网掩码,网络号和子网号为1,主机号为0。工作区子系统,实现工作区终端设备到水平子系统的信息插座间的互联;公有IP地址,通过它直接访问因特网,全网唯一IP。6.建筑物综合布线系统PDS,物理,结构化布线。RAID5,奇偶校验,利用率(n-1)/n。

2023-12-18 23:37:47 323

原创 IPMI协议学习记录——四外部接口

1.实体关联记录:EAR实体关联记录作为一种特殊的SDR,提供了平台实体间关系的定义,如,对于平台电源,将单个电源单元与模块冗余电源组之间建立联系。FRU信息应包含序列号、零件号、型号和资产编号。该接口是一个三IO端接口可以使用一个简单ASIC、FPGA或离散逻辑实现,也可以内置到一个定制控制器,与KCS一样,每个字节握手通过接口传输。3.BT,成组传输,性能更高,与前两者不同,块握手通过接口传输,带有上下流消息块缓冲器。4.SSIF,SMBus系统接口,带宽低,对控制器PIN数,性能要求低,更节约成本。

2023-12-18 23:36:04 505

xilinx时钟资源用户指南

xilinx时钟资源用户指南

2023-11-03

- IPMI -智能平台管理接口规范第二代

- IPMI -智能平台管理接口规范第二代,本文档介绍了智能平台管理接口(IPMI)体系结构的基本规范。IPMI规范为平台管理子系统定义了标准化的、抽象的接口。IPMI包括用于扩展主机箱内部板之间和多个机箱之间平台管理的接口定义。 “平台管理”一词是指平台硬件中内置的、主要用于监控系统硬件运行状况的监控功能。这通常包括监控元素,如系统温度、电压、风扇、电源、总线错误、系统物理安全等。它包括自动和手动驱动的恢复功能,如本地或远程系统重置和开机/关闭操作。它包括记录异常或“超出范围”的条件,以便以后进行检查,并在平台在没有运行时软件帮助的情况下发出警报时发出警报。最后,它包括可以帮助识别出故障的硬件单元的库存信息。 本文档是IPMI的主要规范。它定义了跨基于IPMI的系统和外围机箱使用的总体架构、通用命令、事件格式、数据记录和功能。这包括通过局域网、串行/调制解调器、PCI管理总线和到平台管理的本地接口进行IPMI管理的规范。

2023-10-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除