xilinx建议的复位准则

1.尽量少用复位

FPGA提供专用的全局复位置位信号GSR,在配置结束后,寄存器状态初始化到设定值或者默认逻辑零状态

控制路径可能需要复位,数据路径通常不需要复位

使用功能仿真可判断是否需要复位

少用复位整体上改善性能,减小面积和功耗

2.必须复位时采用同步复位

同步复位可直接映射到FPGA架构中的更多功能器件

DSP48、块RAM只提供同步复位


3.确保使用高电平有效复位

        因为XILINX内SLICE和内部逻辑等为高电平复位有效,用低电平需要反相器

4.避免异步复位

        如果使用异步复位,则异步复位同步释放

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值