`timescale 1 ns/1 ns
module tb;
integer fp_r, fp_w, cnt;
reg [23:0] reg1, reg2, reg3,reg4,reg5;
initial begin
fp_r = $fopen("data_in.txt", "r");
fp_w = $fopen("data_out.txt", "w");
while(!$feof(fp_r)) begin
cnt = $fscanf(fp_r, "%x %x %x %x %x", reg1, reg2, reg3,reg4,reg5);
//$display("%x %x %x %x %x", reg1, reg2, reg3,reg4,reg5);
end
$fwrite(fp_w, "%x %x %x %x %x\n", reg1,reg2, reg3,reg4, reg5);
$fclose(fp_r);
$fclose(fp_w);
end
endmodule
module tb;
integer fp_r, fp_w, cnt;
reg [23:0] reg1, reg2, reg3,reg4,reg5;
initial begin
fp_r = $fopen("data_in.txt", "r");
fp_w = $fopen("data_out.txt", "w");
while(!$feof(fp_r)) begin
cnt = $fscanf(fp_r, "%x %x %x %x %x", reg1, reg2, reg3,reg4,reg5);
//$display("%x %x %x %x %x", reg1, reg2, reg3,reg4,reg5);
end
$fwrite(fp_w, "%x %x %x %x %x\n", reg1,reg2, reg3,reg4, reg5);
$fclose(fp_r);
$fclose(fp_w);
end
endmodule