Xilinx FPGA SelectIO串并转换IP核使用笔记

IP核参数配置总结页:串行输入,8bit并行输出,single-end信号,速率为SDR,IO时钟驱动缓冲包括了BUFIO\BUFG等原语。

 第一步:Example Design工程。FPGA的仿真重要性远大于RTL代码,所以本次从simulation的测试文件开始,即  module selectio_wiz_0_tb ();

// From the system into the device    外部或其他电路 到 IP核电路,输入

wire [SYS_W-1:0] data_in_from_pins;

wire [SYS_W-1:0] data_in_from_pins_DEL

 

// From the drive out to the system       IP核电路 到 外部或其他电路,输出

wire [SYS_W-1:0] data_out_to_pins;  

reg clk_in = 0;

reg clk_reset;

reg io_reset;

//仿真控制

reg [16:0] timeout_counter = 17'b00000000000000000;

reg [16:0] bitslip_timeout = 17'b00000000000000000;

输入串行测试数据来源分析:主要代码如下

 

 

 

 

 

 

 

 

 

 

 

 Bitslip操作:对输入串行数据进行重新排列,重复进行排列组合,直到在并行输出端口得到指定的组合,此时停止Bitslip操作。

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值