HLS完成led灯闪烁

一、HLS 简介

高层次综合(High-level Synthesis)简称HLS,指的是将高层次语言描述的逻辑结构,自动转换成低抽象级语言描述的电路模型的过程。所谓的高层次语言,包括C、C++、SystemC等,通常有着较高的抽象度,并且往往不具有时钟或时序的概念。相比之下,诸如Verilog、VHDL、SystemVerilog等低层次语言,通常用来描述时钟周期精确(cycle-accurate)的寄存器传输级电路模型,这也是当前ASIC或FPGA设计最为普遍使用的电路建模和描述方法。

更多详细说明,请参考:高层次综合:解锁FPGA广阔应用的最后一块拼图

二、HLS 完成 led 灯闪烁

使用工具:vivado

芯片型号:xc7z020clg400-2

参考资料:《Part3_中的Z7_Lite系列教程之HLS篇》中的第二章

Z7-Lite-7020 板卡资料和教程
提取码:doiy

实验书中的步骤描述十分详细,这里就不再具体描述了

led.h

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_

#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);

#endif

led.cpp

#include "led.h"

void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_o
	cnt_t i;
	for(i=0;i<CNT_MAX;i++){
		if(i==FLASH_FLAG){
			*led_o = ~led_i;
		}
	}
}

test_led.cpp

#include "led.h"
#include <stdio.h>

int main(){

	led_t led_i=0x01;
	led_t led_o;
	const int SHIFT_TIME = 4;
	int i;
	for(i=0;i<SHIFT_TIME;i++){
		flash_led(&led_o , led_i);
		led_i = led_o;
		printf("shift_out is %d \n",(int)(led_o&0x01));
	}
}

flash_led.v

`timescale 1ns / 1ps
module flash_led(
input wire clk ,
input wire rst_n ,
output wire led_o
);
 
wire rst ;//同步复位
wire ap_ready ;//当前可以接收下一次数据
reg ap_start ;//IP 开始工作
reg led_i_vld ;//输入数据有效
wire led_o_vld ;
reg led_i ;//输入的 led 信号
wire led_o_r ;
wire ap_done ;
wire ap_idle ;
reg [1:0] delay_cnt ;
assign rst = ~rst_n ;
assign led_o = led_o_r ;
 
//----------------delay_cnt------------------
always @(posedge clk) begin
if (rst==1'b1) begin
delay_cnt <= 'd0;
end
else if(delay_cnt[1]==1'b0) begin
delay_cnt <= delay_cnt + 1'b1;
end
end
 
//----------------ap_start------------------
always @(posedge clk) begin
if (rst==1'b1) begin
ap_start <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
ap_start <= 1'b1;
end
end
 
//----------------led_i_vld------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i_vld <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
led_i_vld <= 1'b1;
end
end
 
//----------------ap_i------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i <= 1'b0;
end
else if(led_o_vld==1'b1)begin
led_i <= led_o_r ;
end
end
 
 
flash_led_0 inst_flash_led (
.led_o_V_ap_vld(led_o_vld), // output wire led_o_V_ap_vld
.led_i_V_ap_vld(led_i_vld), // input wire led_i_V_ap_vld
.ap_clk(clk), // input wire ap_clk
.ap_rst(rst), // input wire ap_rst
.ap_start(ap_start), // input wire ap_start
.ap_done(ap_done), // output wire ap_done
.ap_idle(ap_idle), // output wire ap_idle
.ap_ready(ap_ready), // output wire ap_ready
.led_o_V(led_o_r), // output wire [0 : 0] led_o_V
.led_i_V(led_i) // input wire [0 : 0] led_i_V
); 
endmodule

top_pin.xdc

##############LED define################## 
set_property PACKAGE_PIN P15 [get_ports {led_o}] 
set_property IOSTANDARD LVCMOS33 [get_ports {led_o}]

##############Reset define################## 
set_property PACKAGE_PIN P16 [get_ports {rst_n}] 
set_property IOSTANDARD LVCMOS33 [get_ports {rst_n}]

##############50M CLK define################## 
create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]
set_property PACKAGE_PIN N18 [get_ports {clk}] 
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]

最终结果
在这里插入图片描述

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
下面是一个使用 Vivado HLS 设计 FPGA 片内 ROM 的例子,你可以使用 ILA 观察信号。 1. 创建 Vivado HLS 工程,并打开 C 代码文件。 2. 在 C 代码文件中,添加以下代码来定义 ROM: ```c #define ROM_DEPTH 256 void rom(unsigned char* addr, unsigned char* data_out, unsigned char data_in, bool we) { #pragma HLS INTERFACE s_axilite port=return #pragma HLS INTERFACE m_axi depth=ROM_DEPTH port=addr offset=slave #pragma HLS INTERFACE m_axi depth=ROM_DEPTH port=data_out offset=slave #pragma HLS INTERFACE s_axilite port=data_in #pragma HLS INTERFACE s_axilite port=we static unsigned char mem[ROM_DEPTH]; // 读取操作 if (!we) { *data_out = mem[*addr]; } // 写入操作 else { mem[*addr] = data_in; } } ``` 在上述代码中,我们定义了一个 `rom` 函数,它包含了地址信号 `addr`、数据输出信号 `data_out`、数据输入信号 `data_in` 和写使能信号 `we`。我们使用 `static` 数组 `mem` 来存储 ROM 中的数据,并在函数中实现读取和写入操作。 3. 在 Vivado HLS 中进行综合和验证。 4. 生成 IP 核并在 Vivado 中进行 IP 集成。将 ILA 插入到 IP 核中,用于观察信号。 5. 在 Vivado 中创建 Block Design,将 IP 核实例化到设计中,并连接其他必要的模块。 6. 在 Vivado 中生成 bit 文件,下载到 FPGA 中。 7. 使用 ILA 观察地址信号、数据信号、读写控制信号和时钟信号的变化。 在测试过程中,你可以使用 Vivado 中提供的 ILA 来观察信号的变化。通过观察地址信号和数据信号,你可以确定读取和写入操作是否正常。如果读写操作正常,你应该能够看到正确的地址和数据传输。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值