8位寄存器

        LIBRARY IEEE;

        USE ieee.std_logic_1164.ALL;

        USE ieee.std_logic_unsigned.ALL;

        ENTITY test34 IS

         PORT(clk,oe: IN  std_logic;

                   d: IN  std_logic_VECTOR(7 DOWNTO 0);

                   q: OUT std_logic_VECTOR(7 DOWNTO 0));

        END test34;

        ARCHITECTURE one OF test34 IS

         SIGNAL q_temp : STD_LOGIC_VECTOR(7 DOWNTO 0) ;

        BEGIN

         PROCESS(clk,oe)

          BEGIN

           if oe='0' then

            if clk'event and clk='1' then q_temp<=d;

            end if;

           else q_temp<="ZZZZZZZZ";

           end if;

        END PROCESS;

        q<=q_temp;

        END ARCHITECTURE one ;

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值