Quartus-II输入原理及仿真步骤

Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证一、创建工程二、原理图设计三、编译原理图四、查看硬件电路图五、VWF波形六、波形仿真一、创建工程指定工程名字以及顶层文件名跳过按照如下进行选择点击next点击finnish,完成工程创建二、原理图设计创建一个波形文件选择四个nand2和一个与非门添加两个输入管脚和两个输出管脚完成电路图绘制后并保存三、编译原理图启动分析与综合四、查看硬件电路图打开点击Tools->Netlist Vie
摘要由CSDN通过智能技术生成
  • 4
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值