自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(62)
  • 收藏
  • 关注

原创 matlab——simulink学习(四)

simulink逐字节接收和发送

2022-06-30 15:02:19 1056 1

原创 matlab——simulink学习(三)

PID仿真

2022-06-08 11:44:34 6317 1

原创 matlab——simulink学习(二)

simulink的一个流水灯

2022-06-08 10:18:03 1268

原创 matlab——simulink学习(一)

simulink的学习起点

2022-06-08 09:02:01 555

原创 关于我嵌入式软件面试之后记录下来的总结

目录一、题目(些许)1.大小端存储2.计算机网络的七层模型面试岗位:嵌入式软件工程师面试城市:重庆本人专业:计算机分流至物联网一、题目(些许)1.大小端存储大端存储模式:是指数据的低位字节序保存在内存的高地址中,而数据的高位字节序保存在内存的低地址中小端存储模式:是指数据的低位字节序保存在内存的低地址中,而数据的高位字节序保存在内存的高地址中大小端详解理解:32位机器,int 01234567地址低地址——>——>高地址大端存储6745

2021-10-28 18:03:01 333

原创 C学习——字符串转浮点数、整数转字符串(递归)、字符串倒序(递归)

目录1.字符串转浮点数2.递归调用把整数转为字符串3.递归调用把字符串顺序颠倒1.字符串转浮点数double atof(char s[]){ double val, power; int exp, i, sign; for(i = 0;isspace(s[i]);i++) ; sign = (s[i] == -1) ? -1 : 1; if(s[i] == "+" || s[i] == '-') i++; for(val

2021-09-08 16:09:12 335

原创 C学习——字符串复制

目录1.将字符串t复制到字符串s,并且2.将字符串t复制到字符串s,并且1.将字符串t复制到字符串s,并且将换行符和制表符替换为可见的\n,\t,使用switch写void escape(char s[], char t[]){ int i,j; for(i = j = 0;t[i] != '\0';i++){ switch(t[i]){ case '\n': s[j++] = '\\';

2021-09-07 16:51:19 160

原创 C学习——位移

目录1.将x执行以下操作1.将x执行以下操作第p位开始的n(二进制)个位,设置为y中最右边的n位,x的其余位不变x: xxxm mxxxy: yyyy yynn思路:将x中的m变为0,将y中的y变为0,再左移至相应位置,然后再 与 。x: xxx0 0xxxy: 0000 00nnx: xxx0 0xxxy: 000n n000x: xxxn nxxxunsigned setbits(unsigned x, int p, int n, unsigned y){ return

2021-09-06 17:49:31 30121

原创 C学习——两个字符串

目录1.将字符串s1中与s2相同的字符都删掉2.将字符串s2中的任一字符在s1中的第一次出现的位置作为结果返回,若无,则返回-1字符串s1和s21.将字符串s1中与s2相同的字符都删掉void squeeze(char s1[],char s2[]){ int i,j,k; for(i = k = 0;s1[i] != '\0';i++){ for(j = 0;s2[j] != '\0' && s1[i] != s2[j];j++)

2021-09-06 17:22:51 411

原创 卷积神经网络——猫狗分类

目录一、搭建环境,完成猫狗分类一)安装TensorFlow和Keras二)猫狗分类实验三)附加问题二、Vgg19实现猫狗分类实验目的:1.按照网上教程,利用TensorFlow和Keras,自己搭建卷积神经网络完成狗猫数据集的分类实验(将关键步骤注释出来)。附加问题:解释什么是overfit(过拟合)?什么是数据增强?如果单独只做数据增强,精确率提高了多少?然后再添加的dropout层,是什么实际效果?2.用Vgg19网络模型完成狗猫分类,写出实验结果。实验环境:参考资料:【TensorFlo

2021-06-07 14:38:18 3232 3

原创 VGA生成彩条图像

目录一、新建工程二、新建Verilog文件三、硬件烧录四、硬件连接和结果实验目的:练习基于VGA的图像显示,比如通过Verilog编程从VGA端口生成彩条图案;或者完成一个简单的类似贪吃蛇的VGA小游戏。实验环境:Quartus Prime实验前提:半加器和1位全加器设计并在硬件上实践参考资料:一、新建工程新建如下工程二、新建Verilog文件代码如下module vga_test1(OSC_50, //原CLK2_50时钟信号VGA_CLK, //VGA自时钟V

2021-05-29 10:21:30 239

原创 基于Verilog HDL的数字秒表

目录一、新建工程二、写Verilog HDL文件三、编译四、仿真1.主页面编译2.VWF3.时钟4.结果实验目的:用Verilog HDL设计一个数字跑表。实验环境:Quartus Prime实验前提:利用Quartus-II进行仿真实验一、新建工程新建工程如下:二、写Verilog HDL文件1.新建一个Verilog HDL File2.写入代码xxx部分和文件名相同module xxx(clk,reset,pause,msh,msl,sh,sl,minh,minl);inp

2021-05-29 10:11:01 2168 2

原创 HLS编程介绍

目录一、HLS二、发展与局限1.HLS是什么?与VHDL/Verilog有什么关系?2.HLS有哪些关键技术问题?目前存在什么技术局限性?一、HLS二、发展与局限

2021-05-28 16:02:00 2195

原创 信息熵与压缩编码基础

目录一、信息熵二、信息熵与消息三、实例演示1.什么是信息熵?2.一串消息包含A,B,C,D,E共5类符号,其内容AABBBBAAAACCCCCCCCCEEEEEEDDDDEEEEEEEEEEEEE,请问其信息熵是多少?如果分别采用香农-凡诺编码,霍夫曼编码,压缩率分别是多少?3.一幅1024*768的24位RGB彩色图像一共在内存中占有多少字节? 如果将其保存为非压缩格式的BMP文件,文件有多少字节?请用实例验证。一、信息熵1948年,香农提出了“信息熵”的概念,解决了对信息的量化度量问题

2021-05-28 15:58:28 331

原创 Verilog学习

目录一、Verilog语言学习1.门电路2.组合电路3.时序电路实验目的:1.在线Verilog编程网站学习。2.安装并注册 Robei 软件,按照“7天学好Robei”指导书,完成练习。实验环境:HDLBites参考资料:大佬的知乎一、Verilog语言学习1.门电路(1)非门Notgatemodule top_module( input in, output out );assign out=~in;//注意,~是按位求反,!是逻辑求反endmodule成功后出现波形

2021-04-25 17:36:59 583 1

原创 基于 NIOSII 软核的流水灯实验

目录一、硬件设计1.建立新项目2.进行 Qsys 系统设计3.进行逻辑连接和生成管脚4.芯片引脚设置5.编译工程6.分配物理针脚二、软件设计1.启动 Nios II SBT2.创建工程3.修改程序4.编译工程三、运行项目1.配置 FPGA2.运行/调式程序实验目的:1.学习 Quartus Prime 、Platform Designer、Nios II SBT 的基本操作;2.初步了解 SOPC 的开发流程,基本掌握 Nios II 软核的定制方法;3.掌握 Nios II 软件的开发流程,软件

2021-04-24 10:38:06 376

原创 Quartus II错误集

目录一、Nios II错误集一、Nios II错误集类似这种错误address 0x4acc of hello.elf section `.rwdata' is not within region `onchip_ram'address 0x63b4 of hello.elf section `.bss' is not within region `onchip_ram'可能时RAM的大小不够,也有可能是中断地址(exception address) 的偏余量不够,设置大些就可以了。如果还是出现

2021-04-22 23:41:46 898

原创 机器学习人工智能——逻辑回归

目录一、实验目的:1.学习理解逻辑回归的基本概念,练习python代码的实现。2.准确理解机器学习算法的常用评价指标。实验环境:Jupyter Notbook参考资料:(周志华–西瓜书) 真正例率(TPR)、假正例率(FPR)与查准率(P)、查全率(R)一、...

2021-04-22 16:06:49 344

原创 Python矩阵运算、下降梯度和最小二乘法

目录一、python矩阵二、梯度下降法三、最小二乘法实验目的:1.练习Python矩阵2.解释微分、梯度的含义? 什么是梯度下降法?并用用梯度下降法手工求解参考,在Excel里用牛顿法、或者梯度下降法求解 z=2(x-1)2+y2 的近似根。3.线性回归可以用最小二乘法求解,也可以用梯度下降法求解,试比较二者的结果。参考1,参考2实验环境:python3.x,Anaconda参考资料:python矩阵基本运算.doc前置实验:环境搭建好一、python矩阵二、梯度下降法三、最小

2021-04-14 09:33:15 549

原创 半加器和1位全加器设计并在硬件上实践

目录一、全加器介绍二、半加器设计1.新建工程2.半加器原理图3.将半加器设置为可调用元件4.半加器仿真三、全加器设计1.新建全加器原理图2.全加器仿真四、和硬件一起实验1.设置芯片2.绑定引脚3.硬件实验4.硬件接线和结果实验目的:基于Quartus-II软件完成一个1位全加器的设计实验环境:Quartus-II前置实验:Quartus仿真实验参考资料:课程资料一、全加器介绍全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以

2021-04-07 17:22:00 2724

原创 线性规划问题求解(Excel、python和手工求解)

目录一、用Excel和python编程完成线性规划问题的求解二、用拉格朗日方法求解,手工求解和编程求解实验目的:1.用Excel和python编程完成线性规划问题的求解。2.用拉格朗日方法求解,手工求解和编程求解。给定椭球(x2)/(a2)+(y2)/(b2)+(z2)/(c2)=1求这个椭球的内接长方体的最大体积。这个问题实际上就是条件极值问题,即在(x2)/(a2)+(y2)/(b2)+(z2)/(c2)=1下,求f(x,y,z)=8xyz的最大值。实验环境:Windows10参考资

2021-03-31 16:54:55 1709

原创 利用Quartus-II进行仿真实验

目录一、实验目的:在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证。实验环境:Quartus-II安装参考资料:使用一、

2021-03-30 20:47:01 10839 1

原创 安装Quartus-II 13 和Modelsim

目录一、Quartus-II安装1.Quartus-II 13下载2.Quartus-II 13安装3.Quartus-II 13注册二、Modelsim安装实验目的:安装Quartus-II 13 和Modelsim实验环境:Windows10参考资料:Quartus-II安装及使用一、Quartus-II安装1.Quartus-II 13下载正点原子官方资料下载百度网盘下载链接:https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA 提取码:

2021-03-30 18:28:33 4687 4

原创 鸢尾花数据集的线性多分类

目录一、实验目的:实验环境:参考资料:一、

2021-03-29 22:14:39 406

原创 ROS进阶——URDF机器人建模

目录一、URDF简介二、开始建模1.创建URDF功能包实验目的:构建URDF机器人模型实验环境:Ubuntu18.04参考资料:URDF机器人建模一、URDF简介URDF即统一机器人描述格式,是ROS中一个非常重要的机器人模型描述格式。与计算机文件中的.txt文本格式、.jpg图像格式等类似,URDF是一种基于XML规范、用于描述机器人结构的格式。根据该格式的设计者所言,设计这一格式的目的在于提供一种尽可能通用(as general as possible)的机器人描述规范。二、开始建模

2021-03-27 14:12:45 459

原创 ROS——Gazebo物理仿真环境

目录一、Gazebo简介实验目的:1.完成 gazebo 环境设置(包括家具和障碍物)、机器人传感器(camera、kinect、Lidar)安装。2.用键盘控制机器人的行走,并在 Rviz 中查看各传感器 的采集信息。实验环境:Ubuntu18.04实验前提:参考资料:一、Gazebo简介Gazebo有以下特点:具有强大的物理引擎高质量的图形渲染便捷的编程与图形接口开源免费可用于:测试机器人算法机器人的设计现实情景下的回溯实验...

2021-03-27 13:57:16 253

原创 在Python虚拟环境下对鸢尾花数据集进行SVM线性分类

这里写目录标题一、实验目的:使用python3.6/3.7、Anaconda 和 jupyter、spyder软件。创建一个名为 exam1的虚拟环境,在虚拟环境下安装 numpy、pandas、sklearn包, 并对鸢尾花Iris数据集进行SVM线性分类练习。实验环境:Windows10,python3.x,参考资料:一、...

2021-03-21 15:40:33 944

原创 ROS基础

目录一、实验目的:1.练习话题通信、服务通信编程代码示例;2.安装Rviz,用它显示电脑摄像头的视频实验环境:Windows10,Ubuntu18.04参考资料:一、

2021-03-20 19:30:43 383

原创 Excel求解线性回归问题

目录一、一元线性回归1.设置Excel2.高尔顿数据集线性回归分析二、线性回归方法的有效性判别一、一元线性回归实验目的:1.对“父母子女身高”数据集(高尔顿数据集)进行线性回归分析(简化的做法可选取父子身高数据为X-Y),用excel计算线性回归方程和相关系数、方差、p值等,判断回归方程是否成立?2.现在如果有一个新家庭的数据,已知父亲身高75英寸,请测算儿子的身高为多少?实验环境:Excel2019等参考资料:Excel实现数据的线性回归1.设置Excel打开Excel,进入菜单界面,

2021-03-17 18:19:01 1613

原创 Android studio创建Hello world程序以及启动图标

目录一、新建一个Hello world工程并且模拟调试二、实现生成APK并且真机调试三、为APP重新设计一个启动图标实验目的:1.新建一个Hello world工程并且模拟调试2.实现生成APK并且真机调试3.并为APP重新设计一个启动图标实验环境:Windows10,Android Studio4.1.2参考资料:模拟机和真机调试设计启动图标一、新建一个Hello world工程并且模拟调试1.新建工程2.选择activity3.配置SDK根据Android型号选择4.

2021-03-11 15:00:02 429 2

原创 Android studio安装

目录1.官网下载安装包2.安装3.详细过程1.官网下载安装包官网下载地址注意下下载符合机型的包。2.安装安装时基本就是一直next,其它都是默认设置好的。运行安装包,然后依次点击next——》next——》选择安装路径——》next——》install——》(安完后接着点)next——》(默认打开Android studio)Finish(Do not import setting)OK——》Cancel——》next——》(选择Standard)next——》next——》(

2021-03-09 10:52:51 83

原创 机器人操作系统ROS入门

目录一、ROS是什么?二、为什么要学习ROS?1.ROS的产生、发展和壮大的原因2.为何学习ROS三、ROS基本操作四、其它实验目的:1.什么是ROS? ROS产生、发展和壮大的原因和意义?2.在Ubuntu16或18系统中,安装对应版本的ROS软件;熟悉ROS基本命令;运行小海龟demo例子,通过键盘控制小海龟运动。记录整个实践过程。实验环境:Windows10,Ubuntu18参考资料:学习通课程资料,百度百科一、ROS是什么?百度解释为:ROS是机器人操作系统(Robot Ope

2021-03-03 12:01:18 713

原创 STM32的DAC实验

目录一、DAC简介二、2KHz正弦波实验1.用MATLAB计算2.keil编程3.示波器显示三、数字音频实验实验目的: 用STM32F103的DAC功能完成以下波形输出,用示波器观察波形,并用蜂鸣器或手机耳机收听输出声音效果、感受歌曲的音质差异。1)输出一个周期2khz的正弦波(循环)。此波形驱动作用至蜂鸣器或喇叭,会呈现一个“滴…”的单音;2)将一段数字音频歌曲数据转换为模拟音频波形输出(循环)。实验环境:STM32—F103-MINI开发板,串口调试助手,keil5及以上,示波器参考资料

2021-01-10 16:17:57 1601 1

原创 学生成绩管理系统

目录一、建立数据库并测试1.启动MySQL服务2.用Navicat连接MySQL数据库并建立学生系统的数据库二、eclipse配置基于 JSP+Mysql+Servlet的学生成绩管理系统实验目标: 安装相关环境,完成学生成绩管理系统,包括对学生成绩的增删改查。实验环境:JDKTomcat9.0MySQLNavicat(可能有广告)eclipseJDBC参考资料:以上基于 JSP+Mysql+Servlet的学生成绩管理系统别催了,别催了,博主也要睡觉的一、建立数据库并测试1

2021-01-08 19:53:19 715

原创 Ardunio 完成STM32板子的串口通信

目录一、Ardunio简介二、Ardunio配置三、实验四、其它实验目的: 安装 Ardunio IDE 和相关软件支持库,在Ardunio 上完成STM32板子的串口通信程序:持续向串口输出“Hello world!”,当接到“stop!”时,停止输出。实验环境:STM32F103RCT6-MINI开发板,串口调试助手,keil5及以上参考资料:使用Ardunio 完成STM32板子的串口通信程序安装Ardunio(USB转TTL和STM32开发板选择的不是generic_boot20_pb9.

2021-01-07 11:38:15 736 2

原创 用STM32F103输出一路PWM波形,使用野火提供的定时器方法

目录一、PWM简介二、实验步骤1.示例2.keil仿真3.示波器显示三、其它实验目的:用STM32F103输出一路PWM波形,采用定时器方法。并用示波器观察输出波形。实验环境:STM32—F103-MINI开发板,串口调试助手,keil5及以上参考资料:详解基于STM32的keil5 MDK 软件仿真输出IO口的波形图!stm32 PMW输出实验【野火®】零死角玩转STM32—F103-MINI.pdf,32.8 PWM输入捕获实验一、PWM简介PWM是 Pulse Width Mod

2021-01-03 22:25:08 1850

原创 在keil上使用汇编语言创建STM32工程

目录一、在Keil下完成一个汇编程序1.打开keil,新建工程2.创建汇编文件3.汇编代码4.调试5.对hex文件的分析二、用汇编语言让LED闪烁三、其它实验目的:1.在Keil下完成一个汇编程序的编写,学习动态调试变量;并注意观察最终生成 hex文件的各段的大小,以及Hex文件前8个字节内容,解释其含义。2.用汇编程序完成 每间隔1秒钟闪烁一次LED的程序。实验环境:STM32—F103-MINI开发板,串口调试助手,keil5及以上参考资料:搜索“ARM汇编基础之基于MDK创建纯汇编

2020-12-30 22:31:15 1954

原创 通过Java读取互联网上web服务网站

目录一、读取指定城市天气二、给指定手机号码发送验证码三、其它实验目的:安装Java开发环境和Java IDE编程工具 Eclipse 或 IDEA,基于HTTP协议(严格地说是 “REST接口规范”)读取互联网上web服务网站实现:1.读取指定城市的天气预报信息;2.给指定手机号码发送验证码;实验环境:Windows10 x64,eclipse参考资料:安装和配置Java环境(请在微信公众号上搜索软件安装管家或者Vposy软件安装管家,输入eclipse)制作中,,,一、读取指定城市天气

2020-12-28 16:24:10 132

原创 基于SPI/I2C接口的OLED数据显示

目录一、显示固定字符二、显示温湿度三、滑动显示长字符实验目的:理解OLED屏显和汉字点阵编码原理,使用STM32F103的SPI或IIC接口实现以下功能:1.显示自己的学号和姓名;2.显示AHT20的温度和湿度;3.上下或左右的滑动显示长字符,比如“Hello,欢迎来到XXXX大学物联网XXX实训室!”或者歌词、诗词。(最好使用硬件刷屏模式)实验环境:STM32—F103-MINI开发板,AHT20温湿度传感器,串口调试助手参考资料:商家提供的wiki,字库有机会再写制作中,,,一、显示

2020-12-27 21:50:29 601

原创 wireshark验证实验

目录一、数据链路层二、网络层三、传输层四、应用层实验目的:学会使用wireshark抓包,并且学习计算机网络构成。实验环境:Windows10,wireshark参考资料:棋歌教学前置学习:wireshark新手学习施工中。。。一、数据链路层使用 Wireshark 任意进行抓包,熟悉 Ethernet 帧的结构。了解子网内/外通信时的 MAC 地址ping 同一子网的计算机时,同时用 Wireshark 抓这些包(可使用 icmp 关键字进行过滤以利于分析),记录一下发出帧的目的

2020-12-24 22:13:14 309

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除