FPGA状态机实现序列检测

module reg1 
(
    input rst,
    input clk,
    input in,
    output reg out0
    
);
  
parameter S0=5'b00000;
parameter S1=5'b00001;
parameter S2=5'b00010;
parameter S3=5'b00100;
parameter S4=5'b01000;
parameter S5=5'b10000;

reg [5:0]current_state;
reg [5:0]next_state;
always@(posedge clk or negedge rst)
begin
if(~rst)
current_state<=S0;
else
current_state<=next_state;
end

always@(*)
begin
next_state=S0;
case(current_state)
S0:if(in==1)
        next_state=S1;
    else
        next_state=S0;
S1:if(in==0)
        next_state=S2;
    else
        next_state=S1;
S2:if(in==0)
        next_state=S3;
    else
        next_state=S1;
S3:if(in==1)
        next_state=S4;
    else
        next_state=S0;
S4:if(in==0)
        next_state=S5;
    else
        next_state=S1;
S5:if(in==0)
        next_state=S3;
    else
        next_state=S1;
        
default:next_state=S0;
endcase
end

always@(posedge clk or negedge rst)
begin 
if(~rst)
    out0<=1'b0;
else
case(next_state)
S0:
    out0<=1'b0;
S1:
    out0<=1'b0;
S2:
    out0<=1'b0;
S3:     
    out0<=1'b0;
S4:
    out0<=1'b0;
S5:
    out0<=1'b1;
default:;
endcase
end
endmodule    

    


//测试

`timescale 1ns/1ns

module reg1text();
reg clk;
reg rst;
reg in;

initial
begin
    rst=0;
    #100 rst=1;  
#10000 $stop; 
end

initial
begin
    clk=0;
end
always #5 clk<=~clk;

always@(posedge clk or negedge rst)
begin
 if(~rst)
   in<=0;
  else
   in<={$random}%2;
end

reg1 
reg1_inst
(
    .clk(clk),
    .rst(rst),
    .in(in),
    .out0()

);

endmodule

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yang_wei_bk

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值