Verilog基础知识(状态机与序列检测)

状态机

状态机分moore机和mealy机,其中:

  • moore机的输出只与状态有关
  • mealy机的输出与当前状态和输入都有关
  • 体现在状态转移图上就是,moore机的输出在状态圆圈内,mealy机的输出在转移曲线上
  • moore完全描述状态转移图会比mealy机多一个状态
  • 体现在verilog代码中就是,moore机的最后输出逻辑只判断state,mealy机的输出逻辑中判断state && input

状态机3段式代码风格

描述方式:
同步状态转换过程的边沿敏感行为(时序逻辑,用“<=”非阻塞赋值);
描述下一个状态和输出逻辑的电平敏感行为(组合逻辑,用“=”阻塞赋值)。

下面以检测10010序列为例说明。

状态转移图


测试代码

module pattern_det(
    input in, clk, rst,
    output reg moore_out, mealy_out
    );

reg [4:0] state, state_next;

localparam S_idle = 5'b00000;
localparam S1 = 5'b00001;
localparam S10 = 5'b00010;
localparam S100 = 5
  • 26
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值