QuartusII用自带波形文件做激励进行功能仿真 (2012-0

5-21 22:43:37)
标签: 

quartusii用法

 

主要步骤:

1.建立工程new->New project Wizard

2.输入verilog文件new->verilog hdl file

3.编译Processing->Start->Start Analyse & Synthesis

4.管脚分配Assiment->Pins在Location处双击,另外将不用的引脚设为高阻态

5.整体编译Start Compile

6.将仿真类型设为功能仿真,Assignment->Setting->Simulation Setting->Function

7.建立波形文件file->new->vector waveform file,然后导入引脚

双击name空白区->Node Finder->List-> 》

8.设置信号激励,选择左侧的某一种波形进行起始时间及周期的设定

9.生成仿真需要的网表Processing->Generate Function Simulation Netlist

10.仿真Processing->start simulation

 注意:波形仿真时要建立的波形文件加入到输入中,方法是:

Assignment->Settings->Simulator Settings中的Simulation Input栏是否为空,若为空,应将波形文件加入,否则仿真时会出现No Simulation input file assignment specified.....错误

 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值