Quartus系列:Quartus II 功能仿真设置流程

1.新建一个波形文件

2.右键点击Name下空白框,在弹出的菜单中选择"Inert->Insert Node or Bus..."

如果已经知道端口名称和端口类型,直接在弹出的对话框中键入Name后,相应的信息会自动识别到对话框中,如下:

如果不确定端口名或存在多个输入,点击“Node Finder...”,选择“all”,点击“List”,即可显示出所有端口以及对应端口类型信息。

点击红色区的“>”、“》”、"《"、"<"即可实现单个或所有添加、删减,确定要进行波形操作的信号后,逐级点击OK按钮即可。

端口信号添加完毕后的窗口如下:

选择对应的信号,点击则可使用红色区域按钮对信号进行波形绘制。

此处以a1为例,对a1设置为周期性信号为例,点击下图中红色方框,即可弹出clock对话框,如下图所示。

其中"End time"为仿真时间,默认为"1us","time period"设置时钟特征,包括占空比和起始时刻,如果要修改仿真时间,可以点击"Edit->End Time..."进行设置,如下图所示:

设置完波形后,将对应的波形进行保存,如下图所示:

3.设置好各端口的信号波形之后,生成对应的netlist,点击确定进入下一步。

4.设置仿真类型为functional(功能仿真)

5.点击下图中的开始仿真按钮,开始进行仿真:


仿真结果如下:

更多资讯可扫描下方二维码!


 

转载于:https://www.cnblogs.com/xgcl-wei/p/9021586.html

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值