Quartus 11中,设置未用到的空引脚为高阻态,防止上电即输出高电平或低电平

一。关于未用到引脚

未用到的含义是,该引脚/管脚没有分配任何功能,即未被使用(unused)。

为了防止系统上电后,未用到管脚电平为GND或VCC,造成系统混乱,请务必将为用到管脚设置为 输入高阻态。

 

设置方法如下:

菜单 assignment -> device -> device and pin options.. 里面设置。

将unused pins项目中的状态更改为 as input tri-stated即可

这里面还有别的状态选择,如:输入高阻加弱上拉,但是调试中发现,设置为输入高阻加弱上拉后,有可能导致芯片受干扰,目前原因不明,因此这里推荐如果没有特殊用途,设置为输入高阻态是最保险的。

 

二。其他内容:关于未分配引脚

对应未用到(unused),Quartus里还有另一个概念,就是未分配(unassigned),指的是Verilog程序中有的输入输出端口,但是没有分配一个引脚给它。这种情况同样是非常危险的,因为Quartus可能会随机分配一个引脚给它,造成难以判断原因和分析的系统故障,因此请务必给每一个输入输出端口分配引脚,无用的输入输出端口请删除

 

转载于:https://www.cnblogs.com/pandaeye/archive/2013/05/20/3087886.html

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值